$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Chemical vapor deposition reactor system and integrated circuit 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B32B-009/00
  • B05C-011/00
출원번호 US-0601558 (1996-02-14)
발명자 / 주소
  • Russell Kathleen (Santa Clara CA) Robles Stuardo (Sunnyvale CA) Nguyen Bang C. (Fremont CA) Sivaramakrishnan Visweswaren (Cupertino CA)
출원인 / 주소
  • Applied Materials, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 199  인용 특허 : 0

초록

A method of and apparatus for depositing a silicon oxide layer onto a wafer or substrate is provided. The present method includes introducing into a processing chamber a process gas including silicon, oxygen, boron, phosphorus and germanium to form a germanium doped BPSG oxide layer having a reflow

대표청구항

A chemical vapor deposition reactor system comprising: a housing for forming a vacuum chamber; a substrate holder, located within said housing, for holding a substrate; a gas distributor for introducing a process gas into said vacuum chamber to deposit a layer over said substrate; a gas mixing area,

이 특허를 인용한 특허 (199)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Lu You ; Dawn M. Hopper ; Richard J. Huang, Apparatus and method for manufacturing semiconductors using low dielectric constant materials.
  8. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  9. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  10. Lubomirsky, Dmitry, Chamber with flow-through source.
  11. Lubomirsky, Dmitry, Chamber with flow-through source.
  12. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  13. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  14. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  15. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  16. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  17. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  18. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  19. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  20. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  21. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  22. Ireland, Philip J., Creation of subresolution features via flow characteristics.
  23. Philip J. Ireland, Creation of subresolution features via flow characteristics.
  24. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  25. Pan,Rong; Ton,Van Q., Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications.
  26. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  27. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  28. Zhang,Lin; Chen,Xiaolin; Li,DongQing; Pham,Thanh N; Moghadam,Farhad K; Li,Zhuang; Krishnaraj,Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  29. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  30. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  31. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  32. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  33. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  34. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  35. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  36. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  37. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  38. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  39. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  40. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  41. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  42. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  43. Ko, Kei-Yu; Li, Li; Blalock, Guy T., Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby.
  44. Ko,Kei Yu; Li,Li; Blalock,Guy T., Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby.
  45. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  46. Gupta Anand ; Bhan Mohan ; Subrahmanyam Sudhakar, Film to tie up loose fluorine in the chamber after a clean process.
  47. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  48. Srinivasan, Anand, Flowable germanium doped silicate glass for use as a spacer oxide.
  49. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  50. Vellaikal,Manoj; Mungekar,Hemant P.; Lee,Young S.; Okuno,Yasutoshi; Yuasa,Hiroshi, Gapfill using deposition-etch sequence.
  51. Kwan,Michael; Liu,Eric, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  52. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  53. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  54. Zhong,Fan; Lennon,Michael, GeBPSG top clad for a planar lightwave circuit.
  55. Zhong,Fan; Lennon,Michael, GeBPSG top clad for a planar lightwave circuit.
  56. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  57. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  58. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  59. Chang Mei, HDP-CVD apparatus and process for depositing titanium films for semiconductor devices.
  60. Karim,M. Ziaul; Kapoor,Bikram; Wang,Anchuan; Li,Dong Qing; Ozeki,Katsunari; Vellaikal,Manoj; Li,Zhuang, HDP-CVD multistep gapfill process.
  61. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  62. Nguyen,Vu Ngoc Tran; Kim,Bok Hoen; Yim,Kang Sub, Hermetic cap layers formed on low-κ films by plasma enhanced chemical vapor deposition.
  63. Narwankar Pravin ; Murugesh Laxman ; Sahin Turgut ; Orczyk Maciek ; Qiao Jianmin, High deposition rate recipe for low dielectric constant films.
  64. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  65. Tetsuya Ishikawa ; Kaveh Niazi ; Tsutomu Tanaka ; Canfeng Lai ; Robert Duncan, High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers.
  66. Qi, Bo; Lee, Young S., High-throughput HDP-CVD processes for advanced gapfill applications.
  67. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  68. Tolmachev, Yuri Nikolaevich; Ma, Dong-joon; Moon, Chang-wook; Yoon, Hea-young, Inductively coupled plasma system.
  69. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  70. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  72. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  73. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  74. Nemani, Srinivas D.; Lee, Young S.; Yieh, Ellie Y.; Wang, Anchuan; Bloking, Jason Thomas; Han, Lung Tien, Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD.
  75. Lee, Young S.; Wang, Anchuan; Chan, Lan Chia; Venkataraman, Shankar, Integrated process modulation for PSG gapfill.
  76. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  77. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  78. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  79. Sun,C. Jacob; Eu,James K., Low loss optical waveguide device.
  80. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  81. McLaughlin, Kevin M.; Pharkya, Amit; Reddy, Kapu Sirish, Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing.
  82. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  83. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  84. Chandrasekaram Ramiah ; Jeffrey L. Young ; Neil L. Pagel, Method and apparatus for forming a borophosphosilicate film.
  85. Narwankar, Pravin; Desai, Sameer; Zygmunt, Walter; Sahin, Turgut; Murugesh, Laxman, Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps through wafer heating.
  86. Park Sang Kyun,KRX, Method for forming interlayer insulating film of semiconductor devices.
  87. Fox, Keith; Srinivasan, Easwar; Mordo, David; Wu, Qingguo, Method for improving mechanical properties of low dielectric constant materials.
  88. Ko, Jungmin, Method of fin patterning.
  89. Srinivasan, Anand; Sandhu, Gurtej; Iyer, Ravi, Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials.
  90. Lou Ishing ; Ching Cary ; Lee Peter W. ; Pan Rong ; Gee Paul ; Campana Francimar, Method of forming phosphosilicate glass having a high wet-etch rate.
  91. Kajiyama, Morio; Nakatsuka, Sakae; Aiba, Yasushi, Method of manufacturing a processing apparatus.
  92. Li-Qun Xia ; Ellie Yieh ; Srinivas Nemani, Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions.
  93. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  94. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  95. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  96. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  97. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  98. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  99. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  100. Wu, Qingguo; Fu, Haiying; Niu, Dong; Bandyopadhyay, Ananda K.; Mordo, David, Methods for producing low-k carbon doped oxide films with low residual stress.
  101. Srinivasan, Anand; Sandhu, Gurtej; Iyer, Ravi, Methods of forming fluorine doped insulating materials.
  102. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  103. Yao, Xiang Yu, Mixed frequency RF generator coupled to the gas distribution system.
  104. Chen, Aihua; Liu, Yijun; Chen, Jinyuan; Luo, Lee; Ni, Tuqiang; Yin, Gerald; Ho, Henry, Multi-station plasma reactor with multiple plasma regions.
  105. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  106. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  107. Ghanayem Steve ; Kori Moris ; Mahajani Maitreyee ; Rajagopalan Ravi, Non-plasma halogenated gas flow prevent metal residues.
  108. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  109. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  110. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  111. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  112. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  113. Mungekar,Hemant P.; Lee,Young S; Vellaikal,Manoj; Greig,Karen; Kapoor,Bikram, Oxygen plasma treatment for enhanced HDP-CVD gapfill.
  114. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  115. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  116. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  117. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  118. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  119. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  120. Hunks, William; Xu, Chongying; Hendrix, Bryan C.; Roeder, Jeffrey F.; Bilodeau, Steven M.; Li, Weimin, Precursors for silicon dioxide gap fill.
  121. Hunks, William; Xu, Chongying; Hendrix, Bryan C.; Roeder, Jeffrey F.; Bilodeau, Steven M.; Li, Weimin, Precursors for silicon dioxide gap fill.
  122. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  123. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  124. Philip J. Ireland, Process for forming electrical interconnects in integrated circuits.
  125. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  126. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  127. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  128. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  129. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  130. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  133. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  134. Subramonium,Pramod; Fang,Zhiyuan; Henri,Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  135. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  136. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  137. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  138. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  139. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  140. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  141. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  142. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  143. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  144. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  145. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  146. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  147. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  148. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  149. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  150. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  151. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  152. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  153. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  154. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  155. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  156. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  157. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  158. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  159. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  160. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  161. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  162. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  163. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  164. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  165. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  166. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  167. Ko,Kei Yu; Li,Li; Blalock,Guy T., Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure.
  168. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  169. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  170. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  171. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  172. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  173. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  174. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  175. Nemani,Srinivas D.; Lee,Young S., Silicon oxide gapfill deposition using liquid precursors.
  176. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  177. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  178. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  179. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  180. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  181. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  182. Li-Qun Xia ; Ellie Yieh, Sub-atmospheric chemical vapor deposition system with dopant bypass.
  183. Ireland, Philip J., Subresolution features for a semiconductor device.
  184. Ireland, Philip J., Subresolution features for a semiconductor device.
  185. Shirley,Paul D.; Mori,Hiroyuki, Systems and methods for manipulating liquid films on semiconductor substrates.
  186. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  187. Guo Ted ; Cohen Barney M. ; Verma Amrita, Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers.
  188. Bang Won B. ; Losey Matthew W. ; Campana Francimar ; Nemani Srinivas ; Pichai Sundar, Throttle valve providing enhanced cleaning.
  189. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  190. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  191. Chan,Darin A.; Chan,Simon Siu Sing; Patton,Jeffrey P.; Bertrand,Jacques J., Trenches to reduce lateral silicide growth in integrated circuit technology.
  192. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  193. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  194. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  195. Krishnaraj,Padmanabhan; Cox,Michael S.; Geoffrion,Bruno; Nemani,Srinivas D., Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications.
  196. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  197. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary, VLSI fabrication processes for introducing pores into dielectric materials.
  198. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  199. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로