$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Multilayer wiring structure 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-023/48
출원번호 US-0208870 (1994-03-11)
우선권정보 JP-0051118 (1993-03-11)
발명자 / 주소
  • Fuchida Yumi,JPX
  • Hanari Jun,JPX
  • Matsumoto Kazuhiro,JPX
  • Kudo Junichi,JPX
  • Yoshihara Kunio,JPX
  • Takagi Ayako,JPX
출원인 / 주소
  • Kabushiki Kaisha Toshiba, JPX
대리인 / 주소
    Oblon, Spivak, McClelland, Maier & Neustadt, P.C.
인용정보 피인용 횟수 : 259  인용 특허 : 0

초록

A multilayer wiring structure comprising a substrate, two line layers, and an interlayer insulating film. The first line layer consists of strip-shaped power/ground lines which extend parallel to one another. The second line layer is located above or below the first line layer, extends substantially

대표청구항

[ What is claimed is:] [1.] A multilayer wiring structure comprising:a substrate;a first line layer essentially consisting of at least one of a strip-shaped power line and a strip-shaped ground line extending in a first direction;a second line layer located above or below said first line layer and e

이 특허를 인용한 특허 (259)

  1. Igarashi,Mutsunori; Yamada,Masaaki; Hashimoto,Koji; Takashima,Makoto; Ikeuchi,Atsuhiko, Automated wiring pattern layout method.
  2. Waller, Mark; Parker, Tim; Williams, Mark; Birch, Jeremy; Balsdon, Graham; Sato, Fumiako, Automatic integrated circuit routing using spines.
  3. Waller, Mark; Parker, Tim; Williams, Mark; Birch, Jeremy; Balsdon, Graham; Sato, Fumiako, Automatic integrated circuit routing using spines.
  4. Baldsdon, Graham; Birch, Jeremy; Williams, Mark; Waller, Mark; Parker, Tim; Sato, Fumiaki, Automatic routing system with variable width interconnect.
  5. Balsdon, Graham; Birch, Jeremy; Williams, Mark; Waller, Mark; Parker, Tim; Sato, Fumiaki, Automatic routing system with variable width interconnect.
  6. Balsdon, Graham; Birch, Jeremy; Williams, Mark; Waller, Mark; Parker, Tim; Sato, Fumiaki, Automatically routing nets according to current density rules.
  7. Balsdon, Graham; Birch, Jeremy; Williams, Mark; Waller, Mark; Parker, Tim; Sato, Fumiaki, Automatically routing nets according to current density rules.
  8. Birch, Jeremy; Waller, Mark; Williams, Mark; Balsdon, Graham; Sato, Fumiaki; Parker, Tim, Automatically routing nets according to parasitic constraint rules.
  9. Birch, Jeremy; Waller, Mark; Williams, Mark; Balsdon, Graham; Sato, Fumiaki; Parker, Tim, Automatically routing nets according to parasitic constraint rules.
  10. Birch, Jeremy; Waller, Mark; Williams, Mark; Balsdon, Graham; Sato, Fumiaki; Parker, Tim, Automatically routing nets according to parasitic constraint rules.
  11. Birch, Jeremy; Waller, Mark; Balsdon, Graham, Automatically routing nets with variable spacing.
  12. Birch, Jeremy; Waller, Mark; Balsdon, Graham, Automatically routing nets with variable spacing.
  13. Waller, Mark; Parker, Tim; Williams, Mark; Birch, Jeremy; Balsdon, Graham; Sato, Fumiako, Automation using spine routing.
  14. Hetzel, Asmus, Block interstitching using local preferred direction architectures, tools, and apparatus.
  15. Masleid, Robert P.; Burr, James B.; Pelham, Michael, Body-bias voltage routing structures.
  16. Becker, Scott T., Cell circuit and layout with linear finfet structures.
  17. Hung,Chih Chien; Wu,Ming Che, Circuit layout structure and method.
  18. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  19. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  20. Becker, Scott T., Circuitry and layouts for XOR and XNOR logic.
  21. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Circuits with linear finfet structures.
  22. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  23. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  24. Smayling, Michael C.; Becker, Scott T., Coarse grid design methods and structures.
  25. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts.
  26. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track.
  27. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit defined on two gate electrode tracks.
  28. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track.
  29. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer.
  30. Becker, Scott T.; Mali, Jim; Lambert, Carole, Cross-coupled transistor circuit including offset inner gate contacts.
  31. Choi, Jinwoo; Chun, Sungjun; Frankel, Jason L.; Walling, Paul R.; Weekly, Roger D., Crosstalk reduction between signal layers in a multilayered package by variable-width mesh plane structures.
  32. Choi, Jinwoo; Chun, Sungjun; Frankel, Jason L.; Walling, Paul R.; Weekly, Roger D., Crosstalk reduction between signal layers in a multilayered package by variable-width mesh plane structures.
  33. Teig, Steven; Caldwell, Andrew, Decomposing IC regions and embedding routes.
  34. Waller, Mark; Parker, Tim; Williams, Mark; Birch, Jeremy; Balsdon, Graham; Sato, Fumiako, Design automation using spine routing.
  35. Xiao, You-Cheng; Chen, Yen-Huei; Chen, Jung-Hsuan; Chou, Shao-Yu; Tien, Li-Chun; Liao, Hung-Jen, Distributed metal routing.
  36. Xiao, You-Cheng; Chen, Yen-Huei; Chen, Jung-Hsuan; Chou, Shao-Yu; Tien, Li-Chun; Liao, Hung-Jen, Distributed metal routing.
  37. Lin Ming-Ren ; Pramanick Shekhar ; Bang David, Electron bean curing of low-k dielectrics in integrated circuits.
  38. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  39. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  40. Kornachuk, Stephen; Mali, Jim; Lambert, Carole; Becker, Scott T., Enforcement of semiconductor structure regularity for localized transistors and interconnect.
  41. Balsdon, Graham, Filling vacant areas of an integrated circuit design.
  42. Balsdon, Graham, Filling vacant areas of an integrated circuit design.
  43. Becker, Scott T.; Smayling, Michael C.; Gandhi, Dhrumil; Mali, Jim; Lambert, Carole; Quandt, Jonathan R.; Fox, Daryl, Finfet transistor circuit.
  44. Teig, Steven; Caldwell, Andrew; Jacques, Etienne, Gridless IC layout and method and apparatus for generating such a layout.
  45. Teig,Steven; Buset,Oscar, Hierarchical routing method and apparatus that use diagonal routes.
  46. Mimino, Yutaka; Baba, Osamu; Aoki, Yoshio; Gotoh, Muneharu, High frequency semiconductor device.
  47. Birch, Jeremy, High-speed shape-based router.
  48. Teig, Steven; Caldwell, Andrew, IC layout having topological routes.
  49. Teig, Steven; Caldwell, Andrew; Jacques, Etienne, IC layouts with at least one layer that has more than one preferred interconnect direction, and method and apparatus for generating such a layout.
  50. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  51. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  52. Smayling, Michael C.; Becker, Scott T., Integrated circuit cell library for multiple patterning.
  53. Kusumoto, Keiichi, Integrated circuit device and method for forming the same.
  54. Kusumoto, Keiichi, Integrated circuit device and method for forming the same.
  55. Kusumoto,Keiichi, Integrated circuit device and method for forming the same.
  56. Kusumoto,Keiichi, Integrated circuit device and method for forming the same.
  57. McElvain, Kenneth S., Integrated circuit devices and methods and apparatuses for designing integrated circuit devices.
  58. McElvain, Kenneth S., Integrated circuit devices and methods and apparatuses for designing integrated circuit devices.
  59. McElvain, Kenneth S.; Halpin, William, Integrated circuit devices and methods and apparatuses for designing integrated circuit devices.
  60. McElvain, Kenneth S.; Halpin, William, Integrated circuit devices and methods and apparatuses for designing integrated circuit devices.
  61. McElvain, Kenneth S.; Halpin, William, Integrated circuit devices and methods and apparatuses for designing integrated circuit devices.
  62. Humphrey Guy H. ; Fisher Rory L. ; D'Amato Jerry, Integrated circuit having unique lead configuration.
  63. Becker, Scott T.; Smayling, Michael C., Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length.
  64. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels.
  65. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels.
  66. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels.
  67. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel.
  68. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact.
  69. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode.
  70. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature.
  71. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature.
  72. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer.
  73. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  74. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships.
  75. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  76. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications.
  77. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications.
  78. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications.
  79. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications.
  80. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor.
  81. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors.
  82. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts.
  83. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors.
  84. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature.
  85. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer.
  86. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer.
  87. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature.
  88. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer.
  89. Becker, Scott T.; Smayling, Michael C., Integrated circuit including gate electrode conductive structures with different extension distances beyond contact.
  90. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends.
  91. Becker, Scott T.; Smayling, Michael C., Integrated circuit including linear gate electrode structures having different extension distances beyond contact.
  92. Waller, Mark, Integrated circuit routing and compaction.
  93. Waller, Mark, Integrated circuit routing and compaction.
  94. Waller, Mark, Integrated circuit routing with compaction.
  95. Waller, Mark, Integrated circuit routing with compaction.
  96. Teig, Steven; Overhauser, David; Fujimura, Akira, Integrated circuit wiring architectures to support independent designs.
  97. Jensen Rune Hartung ; Dufour Yves, Integrated circuit with a serpentine conductor track for circuit selection.
  98. Becker, Scott T.; Smayling, Michael C., Integrated circuit with gate electrode conductive structures having offset ends.
  99. Becker, Scott T.; Smayling, Michael C., Integrated circuit with offset line end spacings in linear gate electrode level.
  100. Becker, Scott T.; Mali, Jim; Lambert, Carole, Integrated circuit within semiconductor chip including cross-coupled transistor configuration.
  101. Teig,Steven; Caldwell,Andrew; Jacques,Etienne, Integrated circuits with at least one layer that has more than one preferred interconnect direction, and method for manufacturing such IC's.
  102. Vu Quat T. ; Chien Ling-Chu, Interconnect design with controlled inductance.
  103. McElvain, Kenneth S.; Halpin, William, Interconnection device in a multi-layer shielding mesh.
  104. Ratchkov, Radoslav; Al-Dabagh, Maad, Interleaved termination ring.
  105. Teig, Steven; Buset, Oscar, LP method and apparatus for identifying route propagations.
  106. Teig, Steven; Buset, Oscar, LP method and apparatus for identifying routes.
  107. Teig,Steven; Jacques,Etienne, Layouts with routes with different spacings in different directions on the same layer, and method and apparatus for generating such layouts.
  108. Teig,Steven; Jacques,Etienne, Layouts with routes with different widths in different directions on the same layer, and method and apparatus for generating such layouts.
  109. Okamoto Shigetsugu,JPX, Liquid crystal display element having opposite signal voltage input directions.
  110. Hetzel, Asmus; Malhotra, Anish; Fujimura, Akira; Jacques, Etienne; Frankle, Jon; Harrison, David S.; Feather, Heath; Matveev, Alexandre; King, Roger, Local preferred direction architecture.
  111. Hetzel,Asmus; Malhotra,Anish; Fujimura,Akira; Jacques,Etienne; Frankle,Jon; Harrison,David S.; Feather,Heath; Matveev,Alexandre; King,Roger, Local preferred direction architecture, tools, and apparatus.
  112. Malhotra,Anish; Frankle,Jonathan; Hetzel,Asmus; Jacques,Etienne, Local preferred direction routing.
  113. Wadland, Ken; Lawson, Randall; Radumilo-Franklin, Jelena, Localized routing direction.
  114. Teig, Steven; Buset, Oscar, Method and apparatus for adaptively selecting the wiring model for a design region.
  115. Scheffer, Louis K., Method and apparatus for approximating diagonal lines in placement.
  116. Teig,Steven; Deretsky,Zachary, Method and apparatus for computing capacity of a region for non-Manhattan routing.
  117. Teig, Steven; Frankle, Jonathan, Method and apparatus for costing routes of nets.
  118. Teig,Steven; Caldwell,Andrew, Method and apparatus for decomposing a design layout.
  119. Teig,Steven; Caldwell,Andrew, Method and apparatus for decomposing a region of an integrated circuit layout.
  120. Teig,Steven; Caldwell,Andrew, Method and apparatus for decomposing a region of an integrated circuit layout.
  121. Teig, Steven; Caldwell, Andrew, Method and apparatus for defining vias.
  122. Teig, Steven; Caldwell, Andrew; Jacques, Etienne, Method and apparatus for defining vias.
  123. Teig,Steven; Buset,Oscar; Chao,Heng Yi, Method and apparatus for diagonal routing by using several sets of lines.
  124. Malhotra, Anish; Frankle, Jonathan; Hetzel, Asmus, Method and apparatus for generating layout regions with local preferred directions.
  125. Malhotra, Anish; Frankle, Jonathan; Hetzel, Asmus, Method and apparatus for generating layout regions with local preferred directions.
  126. Teig, Steven; Caldwell, Andrew, Method and apparatus for generating multi-layer routes.
  127. Teig, Steven; Ganley, Joseph L., Method and apparatus for generating routes for groups of related node configurations.
  128. Teig, Steven; Caldwell, Andrew, Method and apparatus for generating topological routes for IC layouts using perturbations.
  129. Teig,Steven; Frankle,Jonathan, Method and apparatus for identifying a group of routes for a set of nets.
  130. Teig,Steven; Caldwell,Andrew, Method and apparatus for identifying optimized via locations.
  131. Teig, Steven; Buset, Oscar, Method and apparatus for identifying propagation for routes with diagonal edges.
  132. Hetzel,Asmus; Jacques,Etienne; Cherukuri,Deepak, Method and apparatus for local preferred direction routing.
  133. Teig,Steven; Frankle,Jonathan; Jacques,Etienne, Method and apparatus for performing an exponential path search.
  134. Teig, Steven; Jacques, Etienne, Method and apparatus for performing geometric routing.
  135. Teig,Steven; Jacques,Etienne, Method and apparatus for performing routability checking.
  136. Teig, Steven; Ganley, Joseph L., Method and apparatus for pre-computing attributes of routes.
  137. Teig, Steven; Chao, Heng-Yi, Method and apparatus for pre-computing routes.
  138. Teig,Steven; Ganley,Joseph L.; Chao,Heng Yi, Method and apparatus for pre-computing routes.
  139. Teig, Steven; Ganley, Joseph L., Method and apparatus for pre-computing routes for multiple wiring models.
  140. Teig, Steven; Caldwell, Andrew, Method and apparatus for producing multi-layer topological routes.
  141. Teig, Steven; Buset, Oscar; Lin, Yang-Trung, Method and apparatus for producing sub-optimal routes for a net by generating fake configurations.
  142. Teig, Steven; Caldwell, Andrew, Method and apparatus for proportionate costing of vias.
  143. Teig, Steven; Caldwell, Andrew, Method and apparatus for routing.
  144. Teig,Steven; Buset,Oscar, Method and apparatus for routing.
  145. Teig,Steven; Frankle,Jonathan, Method and apparatus for routing.
  146. Teig,Steven; Frankle,Jonathan; Jacques,Etienne; Caldwell,Andrew, Method and apparatus for routing.
  147. Teig,Steven; Frankle,Jonathan; Jacques,Etienne; Caldwell,Andrew, Method and apparatus for routing.
  148. Teig, Steven; Caldwell, Andrew, Method and apparatus for routing a set of nets.
  149. Teig,Steven; Caldwell,Andrew, Method and apparatus for routing a set of nets.
  150. Teig,Steven; Caldwell,Andrew, Method and apparatus for routing groups of paths.
  151. Teig, Steven; Caldwell, Andrew; Jacques, Etienne, Method and apparatus for routing nets in an integrated circuit layout.
  152. Teig, Steven; Caldwell, Andrew, Method and apparatus for routing sets of nets.
  153. Frankle, Jonathan; Caldwell, Andrew, Method and apparatus for routing with independent goals on different layers.
  154. Frankle,Jonathan; Caldwell,Andrew, Method and apparatus for routing with independent goals on different layers.
  155. Teig,Steven; Frankle,Jonathan, Method and apparatus for searching for a global path.
  156. Teig,Steven; Frankle,Jonathan, Method and apparatus for searching for a three-dimensional global path.
  157. Teig, Steven; Caldwell, Andrew, Method and apparatus for selecting a route for a net based on the impact on other nets.
  158. Teig,Steven; Frankle,Jonathan, Method and apparatus for solving an optimization problem in an integrated circuit layout.
  159. Teig,Steven; Ganley,Joseph L., Method and apparatus for storing routes.
  160. Teig,Steven; Ganley,Joseph L., Method and apparatus for storing routes for groups of related net configurations.
  161. McElvain, Kenneth S.; Halpin, William, Method and apparatus to design an interconnection device in a multi-layer shielding mesh.
  162. Teig, Steven; Caldwell, Andrew, Method and arrangement for layout and manufacture of nonmanhattan semiconductor integrated circuit using simulated Euclidean wiring.
  163. Teig, Steven; Caldwell, Andrew, Method and arrangement for layout of gridless nonManhattan semiconductor integrated circuit designs.
  164. Frankle, Jonathan; Gilchrist, III, John H.; Malhotra, Anish, Method and system for routing.
  165. Teig,Steven; Caldwell,Andrew, Method for layout of gridless non manhattan integrated circuits with tile based router.
  166. Waller,Mark; Parker,Tim; Williams,Mark; Birch,Jeremy; Balsdon,Graham; Sato,Fumiaki, Method of automatic shape-based routing of interconnects in spines for integrated circuit design.
  167. Balsdon,Graham; Birch,Jeremy; Williams,Mark; Waller,Mark; Parker,Tim; Sato,Fumiaki, Method of automatically routing nets according to current density rules.
  168. Birch,Jeremy; Waller,Mark; Williams,Mark; Balsdon,Graham; Sato,Fumiaki; Parker,Tim, Method of automatically routing nets according to parasitic constraint rules.
  169. Balsdon,Graham; Birch,Jeremy; Williams,Mark; Waller,Mark; Parker,Tim; Sato,Fumiaki, Method of automatically routing nets using a Steiner tree.
  170. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the Same.
  171. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and layouts implementing the same.
  172. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell boundary encroachment and semiconductor devices implementing the same.
  173. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  174. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  175. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  176. Quandt, Jonathan R.; Becker, Scott T.; Gandhi, Dhrumil, Methods for cell phasing and placement in dynamic array architecture and implementation of the same.
  177. Reed, Brian; Smayling, Michael C.; Becker, Scott T., Methods for controlling microloading variation in semiconductor wafer layout and fabrication.
  178. Becker, Scott T.; Smayling, Michael C., Methods for designing semiconductor device with dynamic array section.
  179. Wiseman,Joseph William, Methods for fabricating semiconductor devices and contacts to semiconductor devices.
  180. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  181. Smayling, Michael C.; Becker, Scott T., Methods for linewidth modification and apparatus implementing the same.
  182. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  183. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  184. Fox, Daryl; Becker, Scott T., Methods for multi-wire routing and apparatus implementing same.
  185. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  186. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  187. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  188. Smayling, Michael C.; Becker, Scott T., Methods, structures, and designs for self-aligning local interconnects used in integrated circuits.
  189. Mizuno, Masayuki, Microstrip structure including a signal line with a plurality of slit holes.
  190. Teig, Steven; Overhauser, David; Fujimura, Akira, Multi-directional wiring on a single metal layer.
  191. Russell,Matthew; Narayen,Dushyant; Zhou,Dongyi, Multi-layer staggered power bus layout design.
  192. Hosono,Koji; Nakamura,Hiroshi; Imamiya,Kenichi, NAND flash memory with unequal spacing between signal lines.
  193. Ding, Hanyi; Woods, Jr., Wayne H., On-chip variable delay transmission line with fixed characteristic impedance.
  194. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  195. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  196. Becker, Scott T., Oversized contacts and vias in layout defined by linearly constrained topology.
  197. Ho, Iu-Meng Tom, Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device.
  198. Ho, Iu-Meng Tom, Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device.
  199. Ho,Iu Meng Tom, Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device.
  200. Ho,Iu Meng Tom, Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device.
  201. Campbell,John; Stevens,Kim R.; DiGregorio,Luigi, Power grid layout techniques on integrated circuits.
  202. Yang, Chung-Chieh, Power layout for integrated circuits.
  203. Yang, Chung-Chieh, Power layout for integrated circuits.
  204. Yang, Chung-Chieh, Power layout for integrated circuits.
  205. Chun Chan ; Bo Shen, Power mesh bridge.
  206. Wang, Zhigang; Subramanian, Vivek; Cleveland, Lee, Print compatible designs and layout schemes for printed electronics.
  207. Inagawa Hideho,JPX ; Otaki Toru,JPX, Printed circuit board with noise suppression.
  208. Amir Israel, Printed wiring board having inner test-layer for improved test probing.
  209. Teig, Steven; Buset, Oscar, Probabilistic routing method and apparatus.
  210. Teig, Steven; Buset, Oscar; Jacques, Etienne, Routing method and apparatus.
  211. Teig,Steven; Buset,Oscar; Jacques,Etienne; Caldwell,Andrew; Frankle,Jonathan, Routing method and apparatus.
  212. Teig,Steven; Buset,Oscar; Jacques,Etienne, Routing method and apparatus that use of diagonal routes.
  213. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  214. Smayling, Michael C.; Fox, Daryl; Quandt, Jonathan R.; Becker, Scott T., Scalable meta-data objects.
  215. Becker, Scott T.; Smayling, Michael C., Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures.
  216. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid.
  217. Becker, Scott T.; Smayling, Michael C., Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid.
  218. Kornachuk, Stephen; Mali, James; Lambert, Carole; Becker, Scott T.; Reed, Brian, Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires.
  219. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  220. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods.
  221. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods.
  222. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit defined within dynamic array section.
  223. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  224. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same.
  225. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same.
  226. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same.
  227. Becker, Scott T.; Mali, Jim; Lambert, Carole, Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures.
  228. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same.
  229. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first metal structures.
  230. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures.
  231. Becker, Scott T.; Smayling, Michael C., Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods.
  232. Nakamura Makiko,JPX, Semiconductor device.
  233. Nakamura Makiko,JPX, Semiconductor device.
  234. Shirota Hiroshi,JPX, Semiconductor device and method of manufacturing thereof.
  235. Becker, Scott T.; Smayling, Michael C., Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos.
  236. Mizuno, Masayuki, Semiconductor integrated circuit.
  237. Sumita, Masaya, Semiconductor integrated circuit.
  238. Itoh,Rie; Matsuno,Noriaki; Tsunoda,Masato, Semiconductor integrated circuit device.
  239. Sakamoto, Shinsuke; Inbe, Yasuo; Yaginuma, Masakazu; Horikawa, Kazunari; Sei, Toshikazu, Semiconductor integrated circuit device and wiring arranging method thereof.
  240. Sakamoto, Shinsuke; Inbe, Yasuo; Yaginuma, Masakazu; Horikawa, Kazunari; Sei, Toshikazu, Semiconductor integrated circuit device and wiring arranging method thereof.
  241. Igarashi Mutsunori,JPX ; Mitsuhashi Takashi,JPX ; Murakata Masami,JPX ; Yamada Masaaki,JPX ; Minami Fumihiro,JPX ; Akiyama Toshihiro,JPX ; Aoki Takahiro,JPX, Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method.
  242. Igarashi, Mutsunori; Mitsuhashi, Takashi; Murakata, Masami; Yamada, Masaaki; Minami, Fumihiro; Akiyama, Toshihiro; Aoki, Takahiro, Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method.
  243. Mutsunori Igarashi JP; Takashi Mitsuhashi JP; Masami Murakata JP; Masaaki Yamada JP; Fumihiro Minami JP; Toshihiro Akiyama JP; Takahiro Aoki JP, Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method.
  244. Seta, Shoji, Semiconductor integrated circuit including a multi-level interconnect with a diagonal wire.
  245. McElvain, Kenneth S.; Halpin, William, Shelding mesh design for an integrated circuit device.
  246. Teig, Steven; Overhauser, David; Fujimura, Akira, Simulating diagonal wiring directions using Manhattan directional wires.
  247. Teig, Steven; Overhauser, David; Fujimura, Akira, Simulating euclidean wiring directions using manhattan and diagonal directional wires.
  248. Ding, Hanyi; Woods, Jr., Wayne H., Structure, structure and method for providing an on-chip variable delay transmission line with fixed characteristic impedance.
  249. Ding, Hanyi; Woods, Jr., Wayne H., Structure, structure and method for providing an on-chip variable delay transmission line with fixed characteristic impedance.
  250. Ding, Hanyi; Woods, Jr., Wayne H., Structure, structure and method for providing an on-chip variable delay transmission line with fixed characteristic impedance.
  251. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  252. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  253. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  254. Smayling, Michael C., Super-self-aligned contacts and method for making the same.
  255. Al-Dabagh, Maad; Antisseril, Thomas; Shen, Bo; Subbarao, Prasad; Ratchkov, Radoslav, Termination ring for integrated circuit.
  256. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  257. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  258. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  259. Teig,Steven; Caldwell,Andrew, Topological vias route wherein the topological via does not have a coordinate within the region.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로