$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Executing speculative parallel instructions threads with forking and inter-thread communication 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • G06F-009/30
  • G06F-009/38
출원번호 US-0383331 (1995-02-03)
발명자 / 주소
  • Dubey Pradeep Kumar
  • Barton Charles Marshall
  • Chuang Chiao-Mei
  • Lam Linh Hue
  • O'Brien John Kevin
  • O'Brien Kathryn Mary
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Percello
인용정보 피인용 횟수 : 155  인용 특허 : 21

초록

A central processing unit (CPU) in a computer that permits speculative parallel execution of more than one instruction thread. The CPU uses Fork-Suspend instructions that are added to the instruction set of the CPU, and are inserted in a program prior to run-time to delineate potential future thread

대표청구항

[ We claim:] [1.] A central processing apparatus in a computer comprising:a. an instruction cache memory having a plurality of instructions, the instruction cache further having one or more instruction cache ports;b. a program counter bank of more than one program counter, each program counter capab

이 특허에 인용된 특허 (21)

  1. McKeen Francis X. (Westborough MA) Adler Michael C. (Lexington MA) Emer Joel S. (Acton MA) Nix Robert P. (Concord MA) Sager David J. (Acton MA) Lowney P. Geoffrey (Concord MA), Apparatus and method for speculatively executing instructions in a computer system.
  2. Hirata Hiroaki (Kyoto JPX) Nishimura Akio (Osaka JPX), Apparatus for simultaneously scheduling instruction from plural instruction streams into plural instruction execution un.
  3. Van Dyke Korbin S. (Fremont CA) Stiles David R. (Sunnyvale CA) Favor John G. (San Jose CA), Cache memory system for dynamically altering single cache memory line as either branch target entry or pre-fetch instruc.
  4. Branigin Michael H. (151 Ivy Hills Rd. Southbury CT 06488), Computer processor with an efficient means of executing many instructions simultaneously.
  5. Saxon Paul D. (Bellevue WA), Computer system and method for executing command scripts using multiple synchronized threads.
  6. Matsuo Masahito (Hyogo JPX), Computer with instruction prefetch queue retreat unit.
  7. Papadopoulos Gregory M. (Acton MA) Nikhil Rishiyur S. (Arlington MA) Greiner Robert J. (Chandler AZ) Arvind (Arlington MA), Data processing system with synchronization coprocessor for multiple threads.
  8. Lass Stanley E. (119 W. Maple St. Ogden IA 50212), Interlacing the paths after a conditional branch like instruction.
  9. Touch Joseph D. (Willingboro NJ) Farber David J. (Landenberg PA), Memory-side driven anticipatory instruction transfer interface with processor-side instruction selection.
  10. Shirakihara Toshio (Kanagawa JPX), Method and apparatus for managing thread private data in a parallel processing computer.
  11. Chung Jin-Chin (Hsinchu TX TWX) Wu Chuan-Lin (Austin TX), Multi-threaded microprocessor architecture utilizing static interleaving.
  12. Emma Philip G. (Danbury CT) Knight Joshua W. (Mohegan Lake NY) Pomerene James H. (Chappaqua NY) Rechtschaffen Rudolph N. (Scarsdale NY) Sparacio Frank J. (Sarasota FL), Multiple sequence processor system.
  13. Lee Sai-Keung (Milpitas CA), Peripheral controller for executing multiple event-count instructions and nonevent-count instructions in a prescribed pa.
  14. Blaner Bartholomew (Newark Valley NY) Jeremiah Thomas L. (Endwell NY) Vassiliadis Stamatis (Vestal NY) Williams Phillip G. (Vestal NY), Pipeline for removing and concurrently executing two or more branch instructions in synchronization with other instructi.
  15. Weiser Uri C. (Haifa ILX) Perlmutter David (Haifa ILX) Yaari Yaakov (Haifa ILX), Pipeline system for executing predicted branch target instruction in a cycle concurrently with the execution of branch i.
  16. Nikhil Rishiyur S. (Arlington MA) Arvind (Arlington MA), Pipelined processor with fork, join, and start instructions using tokens to indicate the next instruction for each of mu.
  17. Duxbury Colin M. (Stockport GB3) Eaton John R. (Lancashire GB3) Rose Philip V. (Manchester GB3), Pipelined processor with look-ahead mode of operation.
  18. Farrell Joel A. (Endicott NY) Record Stephen E. (Ridgefield CT) Wade Brian K. (Apalachin NY), Preemptive and non-preemptive scheduling and execution of program threads in a multitasking operating system.
  19. Spix George A. (Eau Claire WI) Wengelski Diane M. (Eau Claire WI) Hawkinson Stuart W. (Eau Claire WI) Johnson Mark D. (Eau Claire WI) Burke Jeremiah D. (Eau Claire WI) Thompson Keith J. (Eau Claire W, System and method for controlling a highly parallel multiprocessor using an anarchy based scheduler for parallel executi.
  20. Nikhil Rishiyur S. (Arlington MA) Arvind (Arlington MA), System storing thread descriptor identifying one of plural threads of computation in storage only when all data for oper.
  21. Dulong Carole (Los Altos CA) Leclerc Jean-Yves (Los Altos CA) Scaglia Patrick (Saratoga CA), Variable delay branch system.

이 특허를 인용한 특허 (155)

  1. Alverson, Gail A.; Callahan, II, Charles David; Kahan, Simon H.; Koblenz, Brian D.; Porterfield, Allan; Smith, Burton J., Accessing a collection of data items in a multithreaded environment.
  2. Kissell, Kevin D., Apparatus, method and instruction for initiation of concurrent instruction streams in a multithreading microprocessor.
  3. Kissell, Kevin D, Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor.
  4. Kissell,Kevin D; Jones,Darren M., Apparatus, method, and instruction for software management of multiple computational contexts in a multithreaded microprocessor.
  5. Sakai,Junji, Branch instruction conversion to multi-threaded parallel instructions.
  6. Wolrich,Gilbert; Adiletta,Matthew J.; Wheeler,William R.; Bernstein,Debra; Hooper,Donald F., Branch instruction for processor with branching dependent on a specified bit in a register.
  7. Torii Sunao,JPX, Cache coherency controller of cache memory for maintaining data anti-dependence when threads are executed in parallel.
  8. Nemirovsky,Mario; Melvin,Stephen W.; Sampath,Nandakumar; Musoll,Enrique; Urdaneta,Hector, Clustering stream and/or instruction queues for multi-streaming processors.
  9. Tikekar, Nikhil; Holmberg, Per Anders, Coarse grained determination of data dependence between parallel executed jobs in an information processing system.
  10. Liao, Shih-wei; Rakvic, Ryan N.; Hankins, Richard A.; Wang, Hong; Wu, Gansha; Lueh, Guei-Yuan; Tian, Xinmin; Petersen, Paul M.; Shah, Sanjiv; Diep, Trung; Shen, John; Chinya, Gautham, Compiler-based scheduling optimization hints for user-level threads.
  11. Biran, Ofer; Hadad, Erez; Hazanovich, Evgeny; Moatti, Yosef, Concurrent scheduling of plan operations in a virtualized computing environment.
  12. Davis, Gordon Taylor; Heddes, Marco C.; Leavens, Ross Boyd; Verplanken, Fabrice Jean, Controller for multiple instruction thread processors.
  13. Davis, Gordon Taylor; Heddes, Marco C.; Leavens, Ross Boyd; Verplanken, Fabrice Jean, Controller for multiple instruction thread processors.
  14. Babaian, Boris A.; Okunev, Sergey K.; Volkonsky, Vladimir Y., Critical path optimization-unzipping.
  15. Vlot Marnix C.,NLX ; Lippens Paul E. R.,NLX, Data processing device with relative jump instruction.
  16. Eisen Susan Elizabeth ; Phillips James Edward, Data processing system having an apparatus for tracking a status of an out-of-order operation and method thereof.
  17. Alverson, Gail A.; Smith, Burton J.; Kaplan, Laurence S.; Niehaus, Mark L., Debugging techniques in a multithreaded environment.
  18. Alverson,Gail A.; Smith,Burton J.; Kaplan,Laurence S.; Niehaus,Mark L., Debugging techniques in a multithreaded environment.
  19. Gail A. Alverson ; Burton J. Smith ; Laurence S. Kaplan ; Mark L. Niehaus, Debugging techniques in a multithreaded environment.
  20. Alverson, Gail A.; Callahan, II, Charles David; Coatney, Susan L.; Koblenz, Brian D.; Korry, Richard D.; Smith, Burton J., Deferred task swapping in a multithreaded environment.
  21. Alverson, Gail A.; Callahan, II, Charles David; Kahan, Simon H.; Koblenz, Brian D.; Porterfield, Allan; Smith, Burton J., Detecting access to a memory location in a multithreaded environment.
  22. Potter, Kenneth H., Dynamic addressing mapping to eliminate memory resource contention in a symmetric multiprocessor system.
  23. Hardwick Jonathan C.,GBX, Dynamic load balancing among processors in a parallel computer.
  24. Elmendorf,Peter C.; Ballal,Unmesh A.; Beatty, III,Harry J.; Yan,Qi, Dynamic seamless reconfiguration of executing parallel software.
  25. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused compiler-assisted branch prediction.
  26. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused compiler-assisted branch prediction.
  27. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused compiler-assisted branch prediction.
  28. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control.
  29. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control.
  30. Barros, Alistair P., Exception handling for collaborating process models.
  31. Buck, Ian A.; Aarts, Bastiaan, Expressing parallel execution relationships in a sequential programming language.
  32. Chaudhry,Shailender; Tremblay,Marc, Facilitating efficient join operations between a head thread and a speculative thread.
  33. Chaudhry Shailender ; Tremblay Marc, Facilitating garbage collection during object versioning for space and time dimensional computing.
  34. Nemirovsky,Mario; Nemirovsky,Adolfo; Sankar,Narendra; Musoll,Enrique, Fetch and dispatch disassociation apparatus for multi-streaming processors.
  35. Nemirovsky, Mario D.; Nemirovsky, Adolfo M.; Sankar, Narendra; Musoll, Enrique, Fetch and dispatch disassociation apparatus for multistreaming processors.
  36. Nemirovsky,Mario; Nemirovsky,Adolfo; Sankar,Narendra; Musoll,Enrique, Fetch and dispatch disassociation apparatus for multistreaming processors.
  37. Konigsburg,Brian R.; Le,Hung Qui; Levitan,David Stephen; Ward, III,John Wesley, Fetch-side instruction dispatch group formation.
  38. Marshall, John William; Potter, Kenneth H., Group and virtual locking mechanism for inter processor synchronization.
  39. Ohtsuka, Katsushi, Information processing apparatus and task execution method.
  40. Musoll,Enric; Nemirovsky,Mario, Instruction fetching system in a multithreaded processor utilizing cache miss predictions to fetch instructions from multiple hardware streams.
  41. Le,Hung Qui; Levitan,David Stephen; Ward, III,John Wesley, Instruction grouping history on fetch-side dispatch group formation.
  42. Kissell,Kevin D, Integrated mechanism for suspension and deallocation of computational threads of execution in a processor.
  43. Sadiq, Wasim, Integration of distributed business process models.
  44. Alverson,Gail A.; Callahan, II,Charles David; Coatney,Susan L.; Koblenz,Brian D.; Korry,Richard D.; Smith,Burton J., Inter-thread long jumps in a multithreaded environment.
  45. Nemirovsky, Mario D.; Nemirovsky, Adolfo M.; Sankar, Narendra, Interrupt and exception handling for multi-streaming digital processors.
  46. Nemirovsky,Adolfo M; Nemirovsky,Mario D; Sankar,Narendra, Interrupt and exception handling for multi-streaming digital processors.
  47. Nemirovsky,Mario D.; Nemirovsky,Adolfo M.; Sankar,Narendra, Interrupt and exception handling for multi-streaming digital processors.
  48. Mario D. Nemirovsky ; Adolfo M. Nemirovsky ; Narendra Sankar, Interstream control and communications for multi-streaming digital processors.
  49. Nemirovsky, Mario D.; Nemirovsky, Adolfo M.; Sankar, Narendra, Interstream control and communications for multi-streaming digital processors.
  50. Nemirovsky, Mario D.; Nemirovsky, Adolfo M.; Sankar, Narendra, Interstream control and communications for multi-streaming digital processors.
  51. Babutzka, Dieter; Klotzek, Hans Georg, Job management in presence of implicit dependency.
  52. Per Anders Holmberg SE, Maintenance of speculative state of parallel executed jobs in an information processing system.
  53. Busaba, Fadi Y.; Carlough, Steven R.; Krygowski, Christopher A.; Prasky, Brian R.; Shum, Chung-Lung K., Major branch instructions.
  54. Busaba, Fadi Y.; Carlough, Steven R.; Krygowski, Christopher A.; Prasky, Brian R.; Shum, Chung-Lung K., Major branch instructions.
  55. Busaba, Fadi Y.; Carlough, Steven R.; Krygowski, Christopher A.; Prasky, Brian R.; Shum, Chung-Lung K., Major branch instructions with transactional memory.
  56. Busaba, Fadi Y.; Carlough, Steven R.; Krygowski, Christopher A.; Prasky, Brian R.; Shum, Chung-Lung K., Major branch instructions with transactional memory.
  57. Du, Zhao Hui; Ngai, Tin fook; Lim, Chu cheow, Mechanism to optimize speculative parallel threading.
  58. Kissell,Kevin D., Mechanisms for assuring quality of service for programs executing on a multithreaded processor.
  59. Kissell, Kevin D, Mechanisms for dynamic configuration of virtual processor resources.
  60. Mamidala, Amith R.; Salapura, Valentina; Wisniewski, Robert W., Mechanisms for efficient intra-die/intra-chip collective messaging.
  61. Mamidala, Amith R.; Salapura, Valentina; Wisniewski, Robert W., Mechanisms for efficient intra-die/intra-chip collective messaging.
  62. Haitham Akkary, Memory system for ordering load and store instructions in a processor that performs multithread execution.
  63. Kailas, Krishnan Kunjunny, Method and apparatus for a computing system using meta program representation.
  64. Salapura, Valentina; Wisniewski, Robert W., Method and apparatus for a hierarchical synchronization barrier in a multi-node system.
  65. Salapura, Valentina; Wisniewski, Robert W., Method and apparatus for a hierarchical synchronization barrier in a multi-node system.
  66. Kailas, Krishnan Kunjunny, Method and apparatus for fast synchronization and out-of-order execution of instructions in a meta-program based computing system.
  67. Gai, Silvano; Edsall, Thomas J., Method and apparatus for high-speed parsing of network messages.
  68. Gai,Silvano; Edsall,Thomas J., Method and apparatus for high-speed parsing of network messages.
  69. Melvin, Stephen; Nemirovsky, Mario D., Method and apparatus for implementing atomicity of memory operations in dynamic multi-streaming processors.
  70. Melvin,Stephen; Nemirovsky,Mario, Method and apparatus for implementing atomicity of memory operations in dynamic multi-streaming processors.
  71. Brown,Jeffrey Douglas; Kossman,Harold F.; Mullins,Timothy John, Method and apparatus for implementing thread replacement for optimal performance in a two-tiered multithreading structure.
  72. Kossman, Harold F.; Mullins, Timothy John, Method and apparatus for implementing two-tiered thread state multithreading support with high clock rate.
  73. Nemirovsky, Mario D.; Melvin, Stephen; Musoll, Enrique; Sankar, Narendra, Method and apparatus for improved computer load and store operations.
  74. Wolrich, Gilbert; Adiletta, Matthew J.; Wheeler, William, Method and apparatus for providing large register address space while maximizing cycletime performance for a multi-threaded register file set.
  75. Callahan, II, Charles David; Koblenz, Brian D., Method and system for automatically regenerating data on-demand.
  76. Brian D. Koblenz, Method and system for calculating instruction lookahead.
  77. Charles David Callahan, II ; Brian D. Koblenz, Method and system for identifying locations to move portions of the computer program.
  78. Georgiou Christos John ; Prener Daniel A., Method and system for interrupt handling in a multi-processor computer system executing speculative instruction threads.
  79. Brian D. Koblenz ; Allan Porterfield ; Burton J. Smith, Method and system for memory allocation in a multiprocessing environment.
  80. Callahan ; II Charles David, Method and system for target register allocation.
  81. Tsafrir, Dan; Wisniewski, Robert W., Method for guaranteeing program correctness using fine-grained hardware speculative execution.
  82. Musoll, Enrique; Nemirovsky, Mario D., Methods and apparatus for improving fetching and dispatch of instructions in multithreaded processors.
  83. Musoll,Enric; Nemirovsky,Mario, Methods and apparatus for improving fetching and dispatch of instructions in multithreaded processors.
  84. Onder, Soner, Methods and systems for ordering instructions using future values.
  85. Sunao Torii JP, Multi-processor system executing a plurality of threads simultaneously and an execution method therefor.
  86. Torii, Sunao, Multi-processor system executing a plurality of threads simultaneously and an execution method therefor.
  87. Ohsawa,Taku; Matsushita,Satoshi, Multi-thread executing method and parallel processing system.
  88. Dennis,Jack B.; Sandbote,Sam B., Multi-thread peripheral processing using dedicated peripheral bus.
  89. Akkary Haitham ; Jacobson Quinn A., Multithreading processor with thread predictor.
  90. Hardwick Jonathan C.,GBX, Nested parallel language preprocessor for converting parallel language programs into sequential code.
  91. Davis,Gordon Taylor; Heddes,Marco C.; Leavens,Ross Boyd; Verplanken,Fabrice Jean, Network processor which makes thread execution control decisions based on latency event lengths.
  92. Akkary Haitham, Out-of-pipeline trace buffer for holding instructions that may be re-executed following misspeculation.
  93. Shimada, Sachiko, Parallel processing apparatus.
  94. Key Kenneth Michael ; Wright Michael L. ; Kerr Darren ; Jennings William E. ; Nellenbach Scott, Parallel processor with debug capability.
  95. Callahan, II, Charles David; Shields, Keith Arnett; Briggs, III, Preston Pengra, Parallelism performance analysis based on execution trace information.
  96. Alverson,Gail A.; Callahan, II,Charles David; Coatney,Susan L.; Koblenz,Brian D.; Korry,Richard D.; Smith,Burton J., Placing a task of a multithreaded environment in a known state.
  97. Kissell, Kevin D., Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor.
  98. Dennis, Jack B., Prioritizing resource utilization in multi-thread computing system.
  99. Barros, Alistair P., Process suspension through process model design.
  100. Barros,Alistair P., Process suspension through process model design.
  101. Mehta,Kalpesh D., Processing block with integrated light weight multi-threading support.
  102. Wolrich, Gilbert; Adiletta, Matthew; Wheeler, William R., Processor having a dedicated hash unit integrated within.
  103. Mann Daniel, Processor having a trace access instruction to access on-chip trace memory.
  104. Akkary Haitham ; Chow Kingsum, Processor having multiple program counters and trace buffers outside an execution pipeline.
  105. Akkary, Haitham; Chow, Kingsum, Processor having multiple program counters and trace buffers outside an execution pipeline.
  106. Arakawa, Fumio, Processor having priority changing function according to threads.
  107. Bosshart, Patrick W., Processor with conditional instruction execution based upon state of corresponding annul bit of annul code.
  108. Georgiou, Christos John; Denneau, Monty Montague, Programmable network protocol handler architecture.
  109. Georgiou,Christos J.; Denneau,Monty M.; Salapura,Valentina; Bunce,Robert M., Programmable network protocol handler architecture.
  110. Kerr, Darren; Key, Kenneth Michael; Wright, Michael L.; Jennings, William E., Programmable processing engine for efficiently processing transient data.
  111. Wolrich, Gilbert; Adiletta, Matthew J.; Wheeler, William R.; Bernstein, Debra; Hooper, Donald F., Register set used in multithreaded parallel processor architecture.
  112. Wolrich, Gilbert; Adiletta, Matthew J; Wheeler, William R.; Bernstein, Debra; Hooper, Donald F., Register set used in multithreaded parallel processor architecture.
  113. Wolrich,Gilbert; Rosenbluth,Mark B.; Bernstein,Debra; Adiletta,Matthew J.; Wilkinson, III,Hugh M., Registers for data transfers.
  114. Alverson,Gail A.; Callahan, II,Charles David; Kahan,Simon H.; Koblenz,Brian D.; Porterfield,Allan; Smith,Burton J., Restricting access to memory in a multithreaded environment.
  115. Moritz, Csaba Andras; Chheda, Saurabh; Carver, Kristopher, Securing microprocessors against information leakage and physical tampering.
  116. Moritz, Csaba Andras; Chheda, Saurabh; Carver, Kristopher, Securing microprocessors against information leakage and physical tampering.
  117. Chheda, Saurabh; Carver, Kristopher; Ashok, Raksit, Security of program executables and microprocessors based on compiler-architecture interaction.
  118. Damron,Peter C., Sideband scout thread processor for reducing latency associated with a main processor.
  119. Vishin, Sanjay; Kissell, Kevin D.; Jones, Darren M.; Kinter, Ryan C., Smart memory based synchronization controller for a multi-threaded multiprocessor SoC.
  120. Luk,Chi Keung; Emer,Joel S., Software controlled pre-execution in a multithreaded processor.
  121. Kissell, Kevin D., Software emulation of directed exceptions in a multithreading processor.
  122. Wang, Hong; Collins, Jamison; Shen, John P.; Black, Bryan; Wang, Perry H.; Grochowski, Edward T.; King, Ralph M., Software-based speculative pre-computation and multithreading.
  123. Uzi Y. Vishkin, Spawn-join instruction set architecture for providing explicit multithreading.
  124. Vishkin, Uzi Y., Spawn-join instruction set architecture for providing explicit multithreading.
  125. Maly, John Warren; Thompson, Ryan Clarenc; Smith, Zachary Steven, Speculative expectation based event verification.
  126. Moritz, Csaba Andras, Statically speculative compilation and execution.
  127. Moritz, Csaba Andras, Statically speculative compilation and execution.
  128. Alverson,Gail A.; Callahan, II,Charles David; Coatney,Susan L.; Koblenz,Brian D.; Korry,Richard D.; Smith,Burton J., Stream management in a multithreaded environment.
  129. Shailender Chaudhry ; Marc Tremblay, Supporting multi-dimensional space-time computing through object versioning.
  130. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  131. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  132. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  133. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  134. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  135. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  136. Kissell, Kevin D., Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  137. Kissell,Kevin D, Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts.
  138. Alverson, Gail A.; Callahan, II, Charles David; Kahan, Simon H.; Koblenz, Brian D.; Porterfield, Allan; Smith, Burton J., Synchronization techniques in a multithreaded environment.
  139. Alverson,Gail A.; Callahan, II,Charles David; Kahan,Simon H.; Koblenz,Brian D.; Porterfield,Allan; Smith,Burton J., Synchronization techniques in a multithreaded environment.
  140. Kissell, Kevin D., Synchronized storage providing multiple synchronization semantics.
  141. Sievert, James A.; Vallevand, Mark K., System and method for providing a pool of reusable threads for performing queued items of work.
  142. Wright Michael L. ; Key Kenneth Michael ; Kerr Darren ; Jennings William E., System for context switching between processing elements in a pipeline of processing elements.
  143. Ryan Jeffrey M. ; Brobst Curtis H. ; Chou Chih-Hsiang, System for distributing a plurality of threads associated with a process initiating by one data processing station among data processing stations.
  144. O'Sullivan, Patrick J.; Thomas, John J.; Willner, Barry E., System management and instruction counting.
  145. O'Sullivan, Patrick J.; Thomas, John J.; Willner, Barry E., System management and instruction counting.
  146. Kissell, Kevin D., System, method, and computer program product for conditionally suspending issuing instructions of a thread.
  147. Alverson,Gail A.; Callahan, II,Charles David; Coatney,Susan L.; Koblenz,Brian D.; Korry,Richard D.; Smith,Burton J., Task swap out in a multithreaded environment.
  148. Alverson Gail A. ; Callahan ; II Charles David ; Coatney Susan L. ; Kaplan Laurence S. ; Korry Richard D., Techniques for an interrupt free operating system.
  149. Alverson,Gail A.; Callahan, II,Charles David; Coatney,Susan L.; Kaplan,Laurence S.; Korry,Richard D., Techniques for reducing the rate of instruction issuance.
  150. Ohsawa,Taku; Matsushita,Satoshi, Thread ending method and device and parallel processor system.
  151. Kerr, Darren; Marshall, John William, Tightly coupled software protocol decode with hardware data encryption.
  152. Mann Daniel, Trace synchronization in a processor.
  153. Ju Dz-Ching, Unified compiler framework for control and data speculation with recovery code.
  154. Alverson, Gail A.; Callahan, II, Charles David; Coatney, Susan L.; Koblenz, Brian D.; Korry, Richard D.; Smith, Burton J., User program and operating system interface in a multithreaded environment.
  155. Gustafson, Phyllis E.; Paleczny, Michael H.; Vick, Christopher A.; Manczak, Olaf; Freeman, Jay R.; Wu, Yuguang, Using a concurrent partial inspector loop with speculative parallelism.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로