$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

[미국특허] Remote plasma source 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B23K-010/00
출원번호 US-0751486 (1996-11-18)
발명자 / 주소
  • Fairbairn Kevin
  • Ponnekanti Hari K.
  • Cheung David
  • Tanaka Tsutomu,JPX
  • Kelka Malcal
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Patterson & Associates
인용정보 피인용 횟수 : 221  인용 특허 : 0

초록

The present invention provides a remote plasma source mountable on a process chamber and connectable on one end to a gas inletting system and on the other end to a gas distribution system disposed in a process chamber. Preferably, a conventional microwave generator is utilized to deliver microwaves

대표청구항

[ What is claimed is:] [1.] An apparatus for exciting one or more gases outside of a processing chamber, comprising:(a) at least one gas inlet selectively connectable to a chamber gas feed;(b) at least one gas outlet;(c) an energy transparent conduit disposed between the gas inlet and the gas outlet

이 특허를 인용한 특허 (221)

  1. Chandran, Shankar W.; Hendrickson, Scott; Jones, Gwendolyn D.; Venkataraman, Shankar; Yieh, Ellie, Accelerated plasma clean.
  2. Chandran,Shankar N.; Hendrickson,Scott; Jones,Gwendolyn D.; Venkataraman,Shankar; Yieh,Ellie, Accelerated plasma clean.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  8. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  9. Cook Robert C., Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor.
  10. Chistyakov, Roman; Abraham, Bassam Hanna, Apparatus and method for sputtering hard coatings.
  11. Oakley Thomas Franklin ; Turner Barry Gaskins, Apparatus and method for supplying fluids to a plasma arc torch.
  12. Oakley Thomas Franklin ; Turner Barry Gaskins, Apparatus and method for supplying fluids to a plasma arc torch.
  13. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  14. Harvey,Keith; Janakiraman,Karthik; Floyd,Kirby, Backflush chamber clean.
  15. Rocha Alvarez, Juan Carlos; Balasubramanian, Ganesh; Cho, Tom K.; Padhi, Deenesh; Nowak, Thomas; Kim, Bok Hoen; M'Saad, Hichem; Raj, Daemian, Blocker plate bypass to distribute gases in a chemical vapor deposition system.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Lubomirsky, Dmitry, Chamber with flow-through source.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  23. Wood, Bingxi Sun; Kawaguchi, Mark N.; Papanu, James S.; Mosely, Roderick C.; Lai, Chiukun Steven; Kao, Chien Teh; Ai, Hua; Wang, Wei W., Cleaning of native oxide with hydrogen-containing radicals.
  24. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  25. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  26. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  27. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  28. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  29. Becker, Volker; Laermer, Franz; Schilp, Andrea; Beck, Thomas, DEVICE AND METHOD FOR THE HIGH-FREQUENCY ETCHING OF A SUBSTRATE USING A PLASMA ETCHING INSTALLATION AND DEVICE AND METHOD FOR IGNITING A PLASMA AND FOR PULSING THE PLASMA OUT PUT OR ADJUSTING THE SAM.
  30. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  31. Borean, Christophe; Delcarri, Jean-Luc, Device and process for chemical vapor phase treatment.
  32. Sandhu, Gurtej S.; Sharan, Sujit, Device for in-situ cleaning of an inductively-coupled plasma chambers.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  35. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  36. Welch Michael D. ; Shan Homgqing ; Luscher Paul E. ; Lee Evans Y. ; Carducci James D. ; Salimian Siamak, Double slit-valve doors for plasma processing.
  37. Welch, Michael D.; Shan, Homgqing; Luscher, Paul E.; Lee, Evans Y.; Carducci, James D.; Salimian, Siamak, Double slit-valve doors for plasma processing.
  38. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  39. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  40. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  41. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  42. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  43. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  44. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  45. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  46. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  47. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  48. Nowak, Thomas; Latchford, Ian; Tanaka, Tsutomu; Kim, Bok Heon; Xu, Ping; Foster, Jason; DeShong, Heath B.; Seamons, Martin, Enhanced remote plasma cleaning.
  49. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  50. Bluck, Terry; Barnes, Michael S.; Fairbairn, Kevin P., Evaporative system for solar cell fabrication.
  51. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  52. Huang Wen-Liang,TWX, Find end point of CLF3 clean by pressure change.
  53. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  54. Huseinovic, Armin; Berry, Ivan L., Fluid distribution members and/or assemblies.
  55. Goto, Haruhiro Harry; Harshbarger, William R.; Shang, Quanyuan; Law, Kam S., Fluorine process for cleaning semiconductor process chamber.
  56. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  57. Tepman Avi, Front end vacuum processing environment.
  58. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  59. Murugesh,Laxman; Krishnaraj,Padmanabhan; Dunham,Carl, Gas distributor having directed gas flow and cleaning method.
  60. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  61. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  62. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  63. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  64. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  65. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  66. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  67. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  68. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  69. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, In-line sputter deposition system.
  70. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  72. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  73. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  74. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  75. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  76. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  77. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  78. Kevin Fairbairn ; Howard E. Grunes ; Christopher Lane ; Kelly A. Colborne, Load-lock with external staging area.
  79. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  80. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  81. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  82. Robert C. Cook ; Daniel L. Brors, Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors.
  83. Paranjpe,Ajit P., Method and apparatus for layer by layer deposition of thin films.
  84. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  85. Zheng, Yi; Singh, Vinita; Nemani, Srinivas D.; Chen, Chen-An; Lee, Ju-Hyung; Venkataraman, Shankar, Method for cleaning a process chamber.
  86. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for front end of line fabrication.
  87. Reed, Joseph C.; Shero, Eric J., Method for minimizing contamination in semiconductor processing chamber.
  88. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  89. Rossman, Kent, Method of cleaning a semiconductor processing chamber.
  90. Ko, Jungmin, Method of fin patterning.
  91. Chistyakov, Roman, Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities.
  92. Chistyakov, Roman; Abraham, Bassam Hanna, Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities.
  93. Chistyakov,Roman, Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities.
  94. Chistyakov,Roman, Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities.
  95. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  96. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  97. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  98. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  99. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  100. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  101. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  102. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  103. Balasubramanian, Ganesh; Rocha-Alvarez, Juan Carlos; Cho, Tom K.; Raj, Daemian, Methods of uniformity control for low flow process and chamber to chamber matching.
  104. Daniel L. Brors ; Robert C. Cook, Mini-batch process chamber.
  105. Felsenthal David ; Lee Chunghsin ; Sferlazzo Piero, Multi-layer sputter deposition apparatus.
  106. Hua,Zhong Qiang; Tan,Zhengquan; Li,Zhuang; Rossman,Kent, Multistep remote plasma clean process.
  107. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  108. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  109. Shang, Quanyuan; Yadav, Sanjay; Harshbarger, William R.; Law, Kam S., On-site cleaning gas generation for process chamber cleaning.
  110. Shang,Quanyuan; Yadav,Sanjay; Harshbarger,William R.; Law,Kam S., On-site cleaning gas generation for process chamber cleaning.
  111. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  112. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  113. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  114. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  115. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  116. Yang, Haichun; Lu, Xinliang; Kao, Chien-Teh; Chang, Mei, Passivation layer formation by plasma clean process to reduce native oxide growth.
  117. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  118. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  119. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  120. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  121. Becknell, Alan Frederick; Buckley, Thomas James; Ferris, David; Pingree, Jr., Richard E.; Sakthivel, Palanikumaran; Srivastava, Aseem Kumar; Waldfried, Carlo, Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith.
  122. Srivastava, Aseem Kumar; Sakthivel, Palanikumaran; Buckley, Thomas James, Plasma ashing apparatus and endpoint detection process.
  123. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  124. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  125. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  126. Boulos, Maher I.; Jurewicz, Jerzy; Guo, Jiayin, Plasma reactor for the synthesis of nanopowders and materials processing.
  127. Lee, Sang In; Lee, Ilsong; Yang, Hyo Seok, Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation.
  128. Lee, Sang In; Lee, Ilsong; Yang, Hyo Seok, Plasma reactor with conductive member in reaction chamber for shielding substrate from undesirable irradiation.
  129. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  130. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  131. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  132. Kellogg, Michael C.; Dhindsa, Rajinder; Stevenson, Tom, Pressure controlled heat pipe temperature control plate.
  133. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  134. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  135. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  136. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  137. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  138. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  139. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  140. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  141. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  142. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  143. Eristoff, D. Guy; Barnes, Michael S.; Wall, Arthur C.; Bluck, Terry, Processing tool with combined sputter and evaporation deposition sources.
  144. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  145. Sugiyama, Toru; Nakano, Ryu, Purge step-controlled sequence of processing semiconductor wafers.
  146. Chen, Xinglong; Garg, Saurabh; Yang, Jang-Gyoo, RPS assisted RF plasma source for semiconductor processing.
  147. Chen, Xinglong; Garg, Saurabh; Yang, Jang-Gyoo, RPS assisted RF plasma source for semiconductor processing.
  148. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  149. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  150. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  151. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  152. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  153. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  154. Sorensen, Carl A.; Kudela, Jozef, Remote inductively coupled plasma source for CVD chamber cleaning.
  155. Hua, Zhong Qiang; Kamath, Sanjay; Lee, Young S.; Yieh, Ellie Y.; Le, Hien-Minh Huu; Patel, Anjana M.; Gondhalekar, Sudhir R., Remote plasma clean process with cycled high and low pressure clean steps.
  156. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  157. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  158. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  159. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  160. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  161. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  162. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  163. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  164. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  165. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  166. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  167. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  168. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  169. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  170. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  171. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  172. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  173. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  174. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  175. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  176. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  177. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  178. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  179. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  180. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  181. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  182. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  183. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  184. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  185. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  186. Wang,Hougong; Ngan,Kenny King Tai; Xu,Zheng, Semiconductor wafer preheating.
  187. Satoh, Kiyoshi; Sato, Kazuo; Fududa, Hideaki, Semiconductor-processing device provided with a remote plasma source for self-cleaning.
  188. Huston, Joel M., Showerhead assembly.
  189. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  190. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  191. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  192. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  193. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  194. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  195. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  196. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  197. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  198. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  199. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  200. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  201. Klein, Martin P.; Felsenthal, David; Sferlazzo, Piero, Substrate processing pallet and related substrate processing method and machine.
  202. Kurita, Shinichi; Anwar, Suhail; Kiyotake, Toshio, Substrate support bushing.
  203. Kurita, Shinichi; Anwar, Suhail; Kiyotake, Toshio, Substrate support bushing.
  204. Barnes, Michael S.; Bluck, Terry, System and method for processing substrates with detachable mask.
  205. Scollay, Stuart; Bluck, Terry; Chen, Xiang, System and method for substrate transport.
  206. Fairbairn, Kevin; Barzilai, Jessica; Ponnekanti, Hari K.; Taylor, W. N. (Nick), Tandem process chamber.
  207. Fairbairn, Kevin; Barzilai, Jessica; Ponnekanti, Hari K.; Taylor, W. N. (Nick), Tandem process chamber.
  208. Cook, Robert C.; Brors, Daniel L., Thermal gradient enhanced CVD deposition at low pressure.
  209. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  210. Kroeker Tony R., Three chamber load lock apparatus.
  211. Tony R. Kroeker, Three chamber load lock apparatus.
  212. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  213. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  214. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  215. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  216. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  217. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  218. Balasubramanian, Ganesh; Rocha Alvarez, Juan Carlos; Cho, Tom K.; Raj, Daemian, Uniformity control for low flow process and chamber to chamber matching.
  219. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  220. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  221. Stowell, Michael W.; Liang, Qiwei, Workpiece processing chamber having a rotary microwave plasma source.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로