$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method for integrating microelectromechanical devices with electronic circuitry 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/00
출원번호 US-0974586 (1997-11-19)
발명자 / 주소
  • Barron Carole C.
  • Fleming James G.
  • Montague Stephen
출원인 / 주소
  • Sandia Corporation
대리인 / 주소
    Hohimer
인용정보 피인용 횟수 : 189  인용 특허 : 21

초록

A method is disclosed for integrating one or more microelectromechanical (MEM) devices with electronic circuitry on a common substrate. The MEM device can be fabricated within a substrate cavity and encapsulated with a sacrificial material. This allows the MEM device to be annealed and the substrate

대표청구항

[ What is claimed is:] [1.] A method for integrating a microelectromechanical (MEM) device with electronic circuitry on a substrate comprising steps for:(a) etching a cavity within a first portion of the substrate;(b) fabricating the MEM device within the cavity, and filling the cavity with a sacrif

이 특허에 인용된 특허 (21)

  1. Zimmer Gnther (Duisburg DEX) Eichholz Jrg (Duisburg DEX) Mokwa Wilfried (Krefeld DEX) Kandler Michael (Erestadt DEX) Manoli Yiannakis (Mlheim DEX), Integratable capacitative pressure sensor.
  2. Chen Liang-Yu (Ithaca NY) MacDonald Noel C. (Ithaca NY), Isolated tungsten microelectromechanical structures.
  3. Ristic Ljubisa (Paradise Valley AZ) Shemansky ; Jr. Frank A. (Phoenix AZ), Method for fabricating a monolithic semiconductor device with integrated surface micromachined structures.
  4. Core Theresa A. (North Andover MA) Howe Roger T. (Lafayette CA), Method for fabricating microstructures.
  5. Tsang Robert W. K. (Bedford MA) Core Theresa A. (North Andover MA), Method for fabricating monolithic chip containing integrated circuitry and suspended microstructure.
  6. Montague Stephen ; Smith James H. ; Sniegowski Jeffry J. ; McWhorter Paul J., Method for integrating microelectromechanical devices with electronic circuitry.
  7. Koseki Osamu (Tokyo JPX) Yoshida Yoshifumi (Tokyo JPX), Method of adjusting the pressure detection value of semiconductor pressure switches.
  8. Reardon Bruce A. (Brockton MA) Goodrich Joel L. (Westford MA), Method of fabricating a semiconductor beam lead device.
  9. Wiech, Jr., Raymond E., Method of fabricating complex microcircuit boards, substrates and microcircuits and the substrates and microcircuits.
  10. Oh Kwang-Ryong (Daejeon KRX) Lee Yong-Tak (Daejeon KRX), Method of manufacturing an integrated photoelectric receiving device.
  11. Sparks Douglas R. (Kokomo IN) Brown Ronald E. (Kokomo IN) Healton Robert L. (Kokomo IN) Christenson John C. (Kokomo IN), Method of micromachining an integrated sensor on the surface of a silicon wafer.
  12. Kung Joseph T. (Boston MA), Methods for planarization and encapsulation of micromechanical devices in semiconductor processes.
  13. Sherman Steven J. (Andover MA) Brokaw A. Paul (Burlington MA) Tsang Robert W. K. (Bedford MA) Core Theresa (Framington MA), Monolithic accelerometer.
  14. Sherman Steven J. (Andover MA) Tsang Robert W. K. (Bedford MA) Core Theresa A. (North Andover MA) Brokaw A. Paul (Burlington MA), Monolithic chip containing integrated circuitry and suspended microstructure.
  15. Dunn William C. (Mesa AZ) Liaw H. Ming (Scottsdale AZ) Ristic Ljubisa (Phoenix AZ) Roop Raymond M. (Scottsdale AZ), Monolithic circuit with integrated bulk structure resonator.
  16. Sickafus Edward N. (Grosse Ile MI), Planar micro-motor and method of fabrication.
  17. Zavracky Paul M. (Norwood MA) Morrison ; Jr. Richard H. (Taunton MA), SOI diaphragm sensor.
  18. Muller Richard S. (Kensington CA) Mastrangelo Carlos H. (Ann Arbor MI) Williams Kirt R. (Orinda CA), Sealed micromachined vacuum and gas filled devices.
  19. De Samber Mark A.,NLX ; Peters Wilhelmus,NLX, Semiconductor device provided with a microcomponent having a fixed and a movable electrode.
  20. Holschwandner Lowell H. (Fountain Hill PA) Rana Virendra V. S. (South Whitehall Township ; Lehigh County PA), Tungsten metallization.
  21. Biebl Markus (Munich DEX) Scheiter Thomas (Munich DEX) Klose Helmut (Munich DEX), Tunnel effect acceleration sensor.

이 특허를 인용한 특허 (189)

  1. Payne, Alexander; Miller, Gregory, 2-D diffraction grating for substantially eliminating polarization dependent losses.
  2. Niklaus,Frank; Stemme,G철ran, Adhesive sacrificial bonding of spatial light modulators.
  3. Amm, David T., Angled illumination for a single order light modulator based projection system.
  4. Lutz,Markus; Partridge,Aaron; Frey,Wilhelm; Ulm,Markus; Metz,Matthias; Stark,Brian; Yama,Gary, Anti-stiction technique for electromechanical systems and electromechanical device employing same.
  5. Lutz,Markus; Partridge,Aaron, Anti-stiction technique for thin film and wafer-bonded encapsulated microelectromechanical systems.
  6. Nolan,Michael, Apparatus and methods of manufacturing and assembling microscale and nanoscale components and assemblies.
  7. Trisnadi, Jahja I.; Carlisle, Clinton B., Apparatus for selectively blocking WDM channels.
  8. Maheshwari,Dinesh, Arbitrary phase profile for better equalization in dynamic gain equalizer.
  9. Sampsell, Jeffrey B., Backlight displays.
  10. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Bi-directional fluid ejection systems and methods.
  11. Amm, David T.; Trisnadi, Jahja; Hunter, James; Gudeman, Christopher; Maheshwari, Dinesh, Blazed grating light valve.
  12. Bass, Michael Wayne; Elkins, Dennis F.; Winkler, Bret D., Calibration system and method for light modulation device.
  13. Ayazi, Farrokh; Amini, Babak Vakili; Abdolvand, Reza, Capacitive microaccelerometers and fabrication methods.
  14. Behin, Behrang; Daneman, Michael J.; Kiang, Meng-Hsiung; Lau, Kam-Yin; Beerling, Timothy E., Capacitive sensing scheme for digital control state detection in optical switches.
  15. Wu, Kun-Lin; Tsai, Meng-Jin, Chemical mechanical polishing method.
  16. Wu Kun-Lin,TWX ; Tsai Meng-Jin,TWX, Chemical-mechanical polishing method.
  17. Wu, Kun-Lin; Tsai, Meng-Jin, Chemical-mechanical polishing method.
  18. Wu,Kun Lin; Tsai,Meng Jin, Chemical-mechanical polishing method.
  19. Maheshwari, Dinesh; Trisnadi, Jahia; Corrigan, Robert W., Chirped optical MEM device.
  20. Saini,Rahul; Jandric,Zoran, Compact microcolumn for automated assembly.
  21. Chui, Clarence; Sampsell, Jeffrey B., Conductive bus structure for interferometric modulator array.
  22. Chui, Clarence; Sampsell, Jeffrey B., Conductive bus structure for interferometric modulator array.
  23. Daneman, Michael J.; Behin, Behrang, Conductive equipotential landing pads formed on the underside of a MEMS device.
  24. Miller, Samuel, Configurations for an optical crossconnect switch.
  25. Leung, Omar; Amm, David T., Controlled curvature of stressed micro-structures.
  26. Miles, Mark W., Device for modulating light with multiple electrodes.
  27. Kothari, Manish, Device having a conductive light absorbing mask and method for fabricating same.
  28. Kothari, Manish, Device having a conductive light absorbing mask and method for fabricating same.
  29. Kothari, Manish, Device having a conductive light absorbing mask and method for fabricating same.
  30. Kothari, Manish, Device having a conductive light absorbing mask and method for fabricating same.
  31. Kothari, Manish, Device having a conductive light absorbing mask and method for fabricating same.
  32. Appleby,Michael; Fraser,Iain; Atkinson,James E., Devices, methods, and systems involving castings.
  33. Appleby,Michael; Fraser,Iain; Atkinson,James E., Devices, methods, and systems involving castings.
  34. Maheshwari, Dinesh, Diffractive light modulator with dynamically rotatable diffraction plane.
  35. Trisnadi,Jahja I.; Carlisle,Clinton B.; Cejna,Vlastimil, Diffractive light modulator-based dynamic equalizer with integrated spectral monitor.
  36. Yoshizawa, Takahiko, Electronic apparatus, manufacturing method thereof, oscillator, electronic appliance, and mobile unit.
  37. Calvin L. Adkins ; David John Tammen ; Michael Alan Gribbons ; Jason D. Reed ; Claude Hilbert ; Richard D. Nelson, Electronic device including multiple capacitance value MEMS capacitor and associated methods.
  38. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; William M. Lindenfelser, Electronic drive systems and methods.
  39. Diem,Bernard; Delapierre,Gilles, Encapsulated microstructure and method of producing one such microstructure.
  40. Miller, Gregory; Berger, Josef, Fiber optic transceiver.
  41. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; Leonard M. Carreira, Fluid ejection systems and methods with secondary dielectric fluid.
  42. Okumura, Toshiyuki, Functional device, method of manufacturing therefor and driver circuit.
  43. Okumura, Toshiyuki, Functional device, method of manufacturing therefor and driver circuit.
  44. Okumura,Toshiyuki, Functional device, method of manufacturing therefor and driver circuit.
  45. Tomita,Akira; Amm,David Thomas; Daneman,Michael J.; Hunter,James; Staker,Bryan, High contrast grating light valve type device.
  46. Maheshwari,Dinesh, High contrast tilting ribbon blazed grating.
  47. Jiang, Fukang; Han, Zhigang; Wang, Xuan-Qi; Tai, Yu-Chong, IC-compatible parylene MEMS technology and its application in integrated sensors.
  48. Van Den Hoek, Willibrordus Gerardus; Van Kampen, Robertus Petrus; Knipe, Richard L.; Smith, Charles Gordon, Implantation of gaseous chemicals into cavities formed in intermediate dielectrics layers for subsequent thermal diffusion release.
  49. Ganesan, Krishnamurthy; Zoerb, Hans F.; Mullally, Gerard, Ingredient systems comprising trehalose, food products containing trehalose, and methods of making same.
  50. Field, Dean L.; Stone, Charles N.; Bruner, Michael W., Integrated circuit having one or more conductive devices formed over a SAW and/or MEMS device.
  51. Hunter, James A., Integrated driver process flow.
  52. Vigna, Benedetto; Maggi, Riccardo, Integrated inkjet print head and manufacturing process thereof.
  53. Lutz, Markus, Integrated pressure sensor.
  54. Barnes, Stephen Matthew; Rodgers, Murray Steven, Interconnect bus crossover for MEMS.
  55. Lasiter, Jon Bradley, Interconnect structure for MEMS device.
  56. Ganti, Surya; Khazeni, Kasra; Sampsell, Jeff, Interferometric modulator in transmission mode.
  57. Carlisle, Clinton B.; Trisnadi, Jahia I.; Hunter, James, Light modulator structure for producing high-contrast operation using zero-order light.
  58. Stark,Brian H.; Najafi,Khalil, Low temperature method for forming a microcavity on a substrate and article having same.
  59. Saini, Rahul; Jandric, Zoran; Tuggle, David, MEMS based charged particle deflector design.
  60. Yoshizawa, Takahiko, MEMS device.
  61. Watanabe, Toru; Sato, Akira; Inaba, Shogo; Mori, Takeshi, MEMS device having a movable electrode.
  62. Watanabe, Toru; Sato, Akira; Inaba, Shogo; Mori, Takeshi, MEMS device having a movable electrode.
  63. Trisnadi,Jahja I.; Carlisle,Clinton B., MEMS interferometer-based reconfigurable optical add-and-drop multiplexor.
  64. Behin, Behrang; Daneman, Michael J.; Lin, Chuang-Chia; Kobrin, Boris; Chaparala, Murali; Zalewski, Gary, MEMS mirrors with precision clamping mechanism.
  65. Haluzak,Charles C.; Pollard,Jeffrey R., MEMS packaging structure and methods.
  66. Hopper,Peter J.; Mian,Michael; McGinty,Jim; Drury,Robert, MEMS pressure sensing array with leaking sensor.
  67. Hopper,Peter J.; Mian,Michael; McGinty,James; Drury,Robert, MEMS pressure sensing device.
  68. Hopper,Peter J.; Mian,Michael; McGinty,Jim; Drury,Robert, MEMS pressure sensing device.
  69. Weigold, Jason W., MEMS resonator having an inner element and an outer element that flex.
  70. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley, Jr. ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; D. Russell Humphreys ; Jeffry J. Sniegowski, Magnetic drive systems and methods for a micromachined fluid ejector.
  71. Daneman, Michael J.; Behin, Behrang; Kiang, Meng-Hsiung, Mechanical landing pad formed on the underside of a MEMS device.
  72. Daneman, Michael J.; Behin, Behrang; Kiang, Meng-Hsiung, Mechanical landing pad formed on the underside of a MEMS device.
  73. Tao, Yi; Zhong, Fan; de Groot, Wilhelmus A., Mechanical layer and methods of forming the same.
  74. Gulvin,Peter M.; Eklund,Elliott A.; Kubby,Joel A., Membrane structures for micro-devices, micro-devices including same and methods for making same.
  75. Yang, Jung-gil; Kwon, Tae-yong; Zhang, Xingui; Kim, Sang-su, Metal-oxide semiconductor field effect transistor, method of fabricating the same, and semiconductor apparatus including the same.
  76. Trisnadi, Jahja I.; Carlisle, Clinton B., Method and apparatus for dynamic equalization in wavelength division multiplexing.
  77. de Groot, Wilhelmus; Maheshwari, Dinesh, Method and apparatus for leveling thermal stress variations in multi-layer MEMS devices.
  78. Trisnadi, Jahja I., Method and apparatus for reducing laser speckle using polarization averaging.
  79. Miles, Mark W., Method and device for modulating light.
  80. Miles, Mark W., Method and device for modulating light with multiple electrodes.
  81. Price, Andrew R.; Kaina, Rachid; Garnett, Mark C., Method and structure for optimizing heat exchanger performance.
  82. Miller, Gregory D., Method for domain patterning in low coercive field ferroelectrics.
  83. Sniegowski, Jeffry J.; Rodgers, M. Steven, Method for making a microstructure by surface micromachining.
  84. Danielle A. Thomas, Method for making an accurate miniature semiconductor resonator.
  85. Gelmi, Ilaria; Sassolini, Simone; Pozzi, Stefano; Garavaglia, Massimo, Method for manipulating MEMS devices, integrated on a wafer semiconductor and intended to be diced one from the other, and relevant support.
  86. Halahan, Patrick B., Method for manufacturing a structure comprising a substrate with a cavity and a semiconductor integrated circuit bonded to a contact pad located in the cavity.
  87. Chinn,Jeffrey D.; Bachrach,Robert Z., Method for measuring etch rates during a release process.
  88. Kornegay, Kevin; Atwell, Andrew R.; Balseanu, Mihaela; Duster, Jon; Hailu, Eskinder; Li, Ce, Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry.
  89. Kornegay,Kevin; Atwell,Andrew R.; Balseanu,Mihaela; Duster,Jon; Hailu,Eskinder; Li,Ce, Method for monolithically integrating silicon carbide microelectromechanical devices with electronic circuitry.
  90. Ayazi, Farrokh, Method for sealing and backside releasing of microelectromechanical systems.
  91. Shook, James Gill, Method of and apparatus for sealing an hermetic lid to a semiconductor die.
  92. Okojie, Robert S.; Fralick, Gustave C.; Saad, George J., Method of assembling a silicon carbide high temperature anemometer.
  93. Miles, Mark W, Method of fabricating MEMS devices (such as IMod) comprising using a gas phase etchant to remove a layer.
  94. Weigold, Jason W., Method of forming an integrated MEMS resonator.
  95. Cave,Nigel G.; Zia,Omar, Method of integrating optical devices and electronic devices on an integrated circuit.
  96. Jason W. Weigold ; Stella W. Pang, Method of making a micromechanical device from a single crystal semiconductor substrate and monolithic sensor formed thereby.
  97. Weigold Jason W. ; Pang Stella W., Method of making a micromechanical device from a single crystal semiconductor substrate and monolithic sensor formed thereby.
  98. Nilsen,Erik; Ellis,Matthew D.; Goldsmith,Charles L.; Lee,Jeong Bong; Huang,Xiaojun; Nallani,Arun Kumar; Kim,Kabseog; Skidmore,George D., Method of manufacturing a microcomponent assembly.
  99. Leung, Omar S., Method of sealing a hermetic lid to a semiconductor die at an angle.
  100. Robertson, III, Eric Anthony; Beck, Scott Edward, Method to remove metal and silicon oxide during gas-phase sacrificial oxide etch.
  101. Trisnadi, Jahja I., Method, apparatus, and diffuser for reducing laser speckle.
  102. Garcia,Jason A., Methods and apparatuses for microelectronic assembly having a material with a variable viscosity around a MEMS device.
  103. Appleby, Michael P.; Fraser, Iain; Atkinson, James E., Methods for manufacturing three-dimensional devices and devices created thereby.
  104. Appleby, Michael P.; Fraser, Iain; Atkinson, James E., Methods for manufacturing three-dimensional devices and devices created thereby.
  105. Appleby, Michael P.; Fraser, Iain; Atkinson, James E., Methods for manufacturing three-dimensional devices and devices created thereby.
  106. Appleby,Michael P.; Fraser,Iain; Atkinson,James E., Methods for manufacturing three-dimensional devices and devices created thereby.
  107. Bower, Christopher; Menard, Etienne; Meitl, Matthew; Carr, Joseph, Methods of forming printable integrated circuit devices and devices formed thereby.
  108. Bower, Christopher; Menard, Etienne; Meitl, Matthew; Carr, Joseph, Methods of forming printable integrated circuit devices and devices formed thereby.
  109. Bower, Christopher; Menard, Etienne; Meitl, Matthew, Methods of forming printable integrated circuit devices by selective etching to suspend the devices from a handling substrate and devices formed thereby.
  110. Siniaguine, Oleg, Methods of manufacturing devices having substrates with opening passing through the substrates and conductors in the openings.
  111. Chen, Chien-Hua; Bamber, John; Kang, Henry, Micro electro-mechanical system packaging and interconnect.
  112. Hunter,Jim; Amm,David; Gudeman,Christopher, Micro-structures with individually addressable ribbon pairs.
  113. Gudeman, Christopher; Hunter, James; Yeh, Richard; Amm, David T., Micro-support structures.
  114. Tsui, Kenneth; Geisberger, Aaron; Skidmore, George, Microconnectors and non-powered microassembly therewith.
  115. Chu Dahlon D. ; Thelen ; Jr. Donald C. ; Campbell David V., Microelectromechanical accelerometer with resonance-cancelling control circuit including an idle state.
  116. Bruner, Mike, Microelectronic mechanical system and methods.
  117. Bruner,Mike, Microelectronic mechanical system and methods.
  118. Bruner,Mike, Microelectronic mechanical system and methods.
  119. Bruner,Mike; Yeh,Richard; Hunter,Jim, Microelectronic mechanical system and methods.
  120. William A. Clark, Microfabrication using germanium-based release masks.
  121. Hsu, Louis L.; Wang, Li-Kong, Micromachined electromechanical (MEM) random access memory array and method of making same.
  122. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Micromachined fluid ejector systems and methods.
  123. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler, Micromachined fluid ejector systems and methods having improved response characteristics.
  124. William F. Filter ; John P. Hohimer, Micromechanical die attachment surcharge.
  125. Bennett, Reid; Draper, Bruce, Monolithic integration of a MOSFET with a MEMS device.
  126. Fazzio,R. Shane; Dungan,Thomas E., Monolithic vertical integration of an acoustic resonator and electronic circuitry.
  127. Barnes, Stephen Matthew; Miller, Samuel Lee; Rodgers, Murray Steven, Multi-level shielded multi-conductor interconnect bus for MEMS.
  128. Barnes, Stephen Matthew; Miller, Samuel Lee; Rodgers, Murray Steven, Multi-level shielded multi-conductor interconnect bus for MEMS.
  129. Verbeck, IV, Guido Fridolin; Tsui, Kenneth, On-chip reflectron and ion optics.
  130. Shafaat, Syed Tariq; Carlisle, Clinton B.; Hartranft, Marc, Optical communication arrangement.
  131. Daneman,Michael J.; Wall,Franklin; Behin,Behrang; Chaparala,Murali; Chang,Mark W.; Dalton,Scott; Beerling,Timothy; Panyko,Stephen; Kiang,Meng Hsiung; Kobrin,Boris; Lin,Chuang Chia, Optical cross-connect system.
  132. Alioshin, Paul; Corbin, Dave B.; Tomita, Akira; Corrigan, Robert W., Optical device arrays with optimized image resolution.
  133. Tsay Wen-Chin,TWX, Optical electronic IC capable of photo detection and its process.
  134. Maheshwari, Dinesh; Dueweke, Michael, PDL mitigation structure for diffractive MEMS and gratings.
  135. Dueweke, Michael; Maheshwari, Dinesh; Gudernan, Christopher; Trisnadi, Jahja I., Patterned diffractive light modulator ribbon for PDL reduction.
  136. Lee, Hojin; Zhong, Fan; Tao, Yi, Pixel via and methods of forming the same.
  137. Lee, Hojin; Zhong, Fan; Tao, Yi, Pixel via and methods of forming the same.
  138. Gudeman,Christopher; Leung,Omar; Hunter,James; Amm,David, Pre-deflected bias ribbons.
  139. Nishikage, Haruhiko; Fujita, Toma, Pressure sensor and method for manufacturing pressure sensor.
  140. Bower, Christopher; Menard, Etienne; Meitl, Matthew; Carr, Joseph, Printable device wafers with sacrificial layers.
  141. Bower, Christopher; Menard, Etienne; Meitl, Matthew; Carr, Joseph, Printable device wafers with sacrificial layers gaps.
  142. Miller Michael F. ; Le Minh Van ; Cook Christopher C. ; Flanders Dale C., Process for integrating dielectric optical coatings into micro-electromechanical devices.
  143. Miller, Michael F.; Le, Minh Van; Cook, Christopher C.; Flanders, Dale C.; Nagle, Steven F., Process for integrating dielectric optical coatings into micro-electromechanical devices.
  144. Nomoto, Satoru; Takeuchi, Masayoshi; Noda, Shuji, Production method of a micromachine.
  145. Christensen, Robert R.; Elkins, Dennis F., Projection method for reducing interpixel gaps on a viewing surface.
  146. Kenneth A. Peterson ; William R. Conley, Protection of microelectronic devices during packaging.
  147. Carlisle, Clinton B.; Trisnadi, Jahja I., Rapidly tunable external cavity laser.
  148. Trisnadi,Jahja I.; Carlisle,Clinton B., Reconfigurable modulator-based optical add-and-drop multiplexer.
  149. Hunter, James; Staker, Bryan, Reduced formation of asperities in contact micro-structures.
  150. Ma,Qing; Cheng,Peng, Sacrificial layer technique to make gaps in MEMS applications.
  151. Ma,Qing; Cheng,Peng, Sacrificial layer technique to make gaps in MEMS applications.
  152. Rodgers, Murray Steven; Miller, Samuel Lee, Self-shadowing MEM structures.
  153. Rodgers, Murray Steven; Miller, Samuel Lee, Self-shadowing MEM structures.
  154. Rodgers, Murray Steven; Barnes, Stephen Matthew, Shielded multi-conductor interconnect bus for MEMS.
  155. Hunter,James; Gudeman,Christopher S., Silicon substrate as a light modulator sacrificial layer.
  156. Tsui,Kenneth; Geisberger,Aaron, Sockets for microassembly.
  157. Halahan, Patrick B., Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity.
  158. Sniegowski, Jeffry J.; Rodgers, M. Steven, Surface micromachined optical system with reinforced mirror microstructure.
  159. Sniegowski, Jeffry J.; Rodgers, M. Steven, Surface micromachined optical system with reinforced mirror microstructure.
  160. Sniegowski, Jeffry J.; Rodgers, M. Steven, Surface micromachined optical system with reinforced mirror microstructure.
  161. Sniegowski, Jeffry J.; Rodgers, M. Steven, Surface micromachined optical system with reinforced mirror microstructure.
  162. Arthur M. Gooray ; George J. Roller ; Joseph M. Crowley ; Paul C. Galambos ; Frank J. Peter ; Kevin R. Zavadil ; Richard C. Givler ; Randy J. Shul ; Christi Willison Gober, Surface micromachined structure fabrication methods for a fluid ejection device.
  163. Christensen, Robert R.; Winkler, Bret D.; Elkins, Dennis; Tanner, Allen H., System and method for aligning RGB light in a single modulator projector.
  164. Carlson, Kenneth L., System and method for displaying a planar image on a curved surface.
  165. Carlson, Kenneth L., System and method for displaying distant 3-D stereo on a dome surface.
  166. Carlson, Kenneth L., System and method for displaying images in 3-D stereo.
  167. Skidmore, George D., System and method for fabricating microcomponent parts on a substrate having pre-fabricated electronic circuitry thereon.
  168. Johnson, Eric H.; Harley-Stead, Michael W., System to enable photolithography on severe structure topologies.
  169. Hilton,Brian S., Systems and methods for microelectromechanical system based fluid ejection.
  170. Appleby, Michael P.; Randolph, William T.; Klinger, Jill E., Systems for large area micro mechanical systems.
  171. Appleby, Michael P.; Fraser, Iain; Paulus, John, Systems, devices, and/or methods for manufacturing castings.
  172. Appleby, Michael; Fraser, Iain; Paulus, John, Systems, devices, and/or methods for manufacturing castings.
  173. Appleby, Michael; Paulus, John; Fraser, Iain; Klinger, Jill; Heneveld, Benjamin, Systems, devices, and/or methods for producing holes.
  174. Zalalutdinov, Maxim; Reichenbach, Robert B.; Aubin, Keith; Houston, Brian H.; Parpia, Jeevak M.; Craighead, Harold G., Thermal-mechanical signal processing.
  175. Freidhoff, Carl B., Thin film encapsulation of MEMS devices.
  176. Freidhoff,Carl B., Thin film encapsulation of MEMS devices.
  177. Mehregany, Mehran, Three-axis accelerometers and fabrication methods.
  178. Maheshwari, Dinesh, Tilt-able grating plane for improved crosstalk in 1×N blaze switches.
  179. Corrigan,Robert W.; Maheshwari,Dinesh, Two-stage gain equalizer.
  180. Winkler, Bret D.; Elkins, Dennis F.; Tanner, Allen H., Ultra-high resolution light modulation control system and method.
  181. Chaparala, Murali; Daneman, Michael J., Use of applied force to improve MEMS switch performance.
  182. Habermehl Scott D. ; Sniegowski Jeffry J., Use of silicon oxynitride as a sacrificial material for microelectromechanical devices.
  183. Ma,Qing; Cheng,Peng; Rao,Valluri, Vacuum-cavity MEMS resonator.
  184. Cheng, Peng; Ma, Qing, Variable tunable range MEMS capacitor.
  185. Peng Cheng ; Qing Ma, Variable tunable range MEMS capacitor.
  186. Cheng, Chun-Wen; Lin, Chung-Hsien; Chu, Chia-Hua, Wafer level packaging.
  187. Cheng, Chun-Wen; Lin, Chung-Hsien; Chu, Chia-Hua, Wafer level packaging.
  188. Roxlo, Charles B., Wavelength selective switch and equalizer.
  189. Hopper,Peter J.; Mian,Michael; Hwang,Kyuwoon; Drury,Robert, Wireless pressure sensing Schrader valve.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로