$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/44
출원번호 US-0398292 (1999-09-20)
발명자 / 주소
  • Li Jianxun,SGX
  • Chooi Simon,SGX
  • Zhou Mei-Sheng,SGX
출원인 / 주소
  • Chartered Semiconductor Manufacturing Ltd., SGX
대리인 / 주소
    Saile
인용정보 피인용 횟수 : 117  인용 특허 : 18

초록

A method of fabricating damascene vias has been achieved. Diffusion of copper into dielectric layers due to overetch of the passivation layer is eliminated by a barrier layer. The method can be used to form dual damascene interconnects. Copper traces through an isolation layer are provided overlying

대표청구항

[ What is claimed is:] [1.] A method to prevent copper diffusion into dielectric layers in the fabrication of a damascene via in the manufacture of an integrated circuit device comprising:providing copper traces through an isolation layer overlying a semiconductor substrate;depositing a passivation

이 특허에 인용된 특허 (18)

  1. Chan Lap ; Zheng Jia Zhen,SGX, Barrier layer.
  2. McTeer Allen, Copper diffusion barrier, aluminum wetting layer and improved methods for filling openings in silicon substrates with c.
  3. Bai Gang ; Fraser David B., Diffusion barrier for electrical interconnects in an integrated circuit.
  4. Avanzino Steven (Cupertino CA) Gupta Subhash (San Jose CA) Klein Rich (Mountain View CA) Luning Scott D. (Menlo Park CA) Lin Ming-Ren (Cupertino CA), Dual damascene with a protective mask for via etching.
  5. Dubin Valery M. ; Shacham-Diamand Yosef ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K., Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications.
  6. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  7. Mikagi Kaoru (Tokyo JPX), Method for fabricating semiconductor device with interconnections buried in trenches.
  8. Jain Ajay ; Lucas Kevin, Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC).
  9. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  10. Chiang Chien ; Fraser David B., Method for forming multileves interconnections for semiconductor fabrication.
  11. Boeck Bruce Allen ; Wetzel Jeff Thomas ; Sparks Terry Grant, Method for manufacturing a low dielectric constant inter-level integrated circuit structure.
  12. Lin Ming-Ren, Method for producing ultra-fine interconnection features.
  13. Nogami Takeshi ; Dubin Valery ; Cheung Robin, Method of electroplating a copper or copper alloy interconnect.
  14. Chan Lap ; Zheng Jia Zhen,SGX, Method of manufacturing copper interconnect with top barrier layer.
  15. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  16. Jain Ajay, Process for forming a semiconductor device.
  17. Kim Sung C. ; Bajaj Rajeev ; Zaleski Mark A., Process for polishing a semiconductor device substrate.
  18. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.

이 특허를 인용한 특허 (117)

  1. Bonilla, Griselda; Choi, Samuel S. S.; Filippi, Ronald G.; Huang, Elbert E.; Lustig, Naftali E.; Simon, Andrew H., Alternate dual damascene method for forming interconnects.
  2. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  3. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  4. Derderian, Garo J.; Sandhu, Gurtej Singh, Atomic layer deposition and conversion.
  5. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  6. Singh Bhanwar ; Templeton Michael K. ; Rangarajan Bharath ; Lyons Christopher F. ; Yedur Sanjay K. ; Subramanian Ramkumar, CVD plasma process to fill contact hole in damascene process.
  7. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Chip structure and process for forming the same.
  8. O'Kane, William Jude; Lamberton, Robert William, Combined atomic layer deposition and damascene processing for definition of narrow trenches.
  9. Woo,Christy Mei Chu; Ngo,Minh Van; Sanchez, Jr.,John E.; Avanzino,Steven C., Conformal barrier liner in an integrated circuit interconnect.
  10. Chooi,Simon; Aliyu,Yakub; Zhou,Mei Sheng; Sudijono,John Leonard; Gupta,Subbash; Roy,Sudipto Ranendra; Ho,Paul Kwok Keung; Xu,Yi, Copper diffusion deterrent interface.
  11. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  12. Yu Chia-Chieh,TWX, Damascene process.
  13. Chooi Simon,SGX ; Xu Yi,SGX ; Zhou Mei Sheng,SGX, Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer.
  14. Anderson, Brent A.; Nowak, Edward J., Damascene wires with top via structures.
  15. Li, Dong, Deposition of ruthenium or ruthenium dioxide.
  16. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  17. Sandhu, Gurtej S.; Durcan, D. Mark, Devices with nanocrystals and methods of formation.
  18. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Doped metal germanide and methods for making the same.
  19. Barth,Edward P.; Biery,Glenn A.; Gambino,Jeffrey P.; Ivers,Thomas H.; Lee,Hyun K.; Levine,Ernest N.; McDonald,Ann; Stamper,Anthony K., Dual damascene interconnect structure using low stress fluorosilicate insulator with copper conductors.
  20. Li-Chih Chao TW; Chia-Shiung Tsai TW; Ming-Huei Lui TW; Jen-Cheng Liu TW; Chao-Cheng Chen TW, Dual damascene process to reduce etch barrier thickness.
  21. Hsin-Tang Peng TW; Fu-Cheng Lin TW; Chun-Wei Chen TW, Dual damascene process which prevents diffusion of metals and improves trench-to-via alignment.
  22. Subramanian, Ramkumar; Hopper, Dawn M.; Wang, Fei; Okada, Lynne A., Dual damascene with silicon carbide middle etch stop layer/ARC.
  23. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  24. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  25. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  26. Haukka, Suvi P.; Tuominen, Marko J.; Rahtu, Antti, Enhanced deposition of noble metals.
  27. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  28. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric layers.
  29. Gealy, Dan; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  30. Gealy, F. Daniel; Bhat, Vishwanath; Srividya, Cancheepuram V.; Rocklein, M. Noel, Graded dielectric structures.
  31. Ahn, Kie Y.; Forbes, Leonard, HfAlOfilms for gate dielectrics.
  32. Ahn, Kie Y.; Forbes, Leonard, High-K gate dielectric oxide.
  33. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-K gate oxide ZrO2.
  34. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate dielectric ZrON.
  35. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate oxide ZrO2.
  36. Chen, Dian-Hau; Ma, Ching-Tien; Lee, Hsiang-Tan, IMD film composition for dual damascene process.
  37. Yi, Wanbing; Bhatkar, Mahesh; Neo, Chin Chuan; Tan, Juan Boon, Integrated circuits with aluminum via structures and methods for fabricating the same.
  38. Okada, Lynne A.; Wang, Fei; Kai, James K., Integration of organic fill for dual damascene process.
  39. Chen Dian-Hau,TWX ; Ma Ching-Tien,TWX ; Lee Hsiang-Tan,TWX, Inter-metal dielectric film composition for dual damascene process.
  40. Clevenger, Lawrence A.; Quon, Roger A.; Spooner, Terry A.; Wang, Wei; Yang, Chih-Chao, Interconnect structure.
  41. Lin, Rueijer; Lee, Ya-Lien; Lin, Chun-Chieh; Su, Hung-Wen, Interconnect structure including a conductive feature and a barrier layer on sidewalls and a bottom surface of the conductive feature and method of forming the same.
  42. Naoki Komai JP; Shingo Kadomura JP; Mitsuru Taguchi JP; Akira Yoshio JP; Takaaki Miyamoto JP, Interconnection structure and fabrication process therefor.
  43. David V. Horak ; William A. Klaasen ; Thomas L. McDevitt ; Mark P. Murray ; Anthony K. Stamper, Interconnection structure and method for fabricating same.
  44. Horak, David V.; Klaasen, William A.; McDevitt, Thomas L.; Murray, Mark P.; Stamper, Anthony K., Interconnection structure and method for fabricating same.
  45. Chang, Che-Cheng; Lin, Chih-Han, Interconnection structure with sidewall dielectric protection layer.
  46. Kolics, Artur; Lee, William T.; Zhao, Larry; Wong, Derek; Nalla, Praveen; Ashtiani, Kaihan; Van Cleemput, Patrick A.; Dordi, Yezdi, Interlevel conductor pre-fill utilizing selective barrier deposition.
  47. Iggulden, Roy C.; Shafer, Padraic; Robl, Werner; Wong, Kwong Hon, Liner with poor step coverage to improve contact resistance in W contacts.
  48. Ho Chung W. ; Deshpaude Ujwal Anant ; Lin Chang-Ming, Low cost high density thin film processing.
  49. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  50. Forbes, Leonard; Ahn, Kie Y., Memory utilizing oxide nanolaminates.
  51. Beck,Michael, Metal interconnect structure and method.
  52. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  53. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Metal silicide, metal germanide, methods for making the same.
  54. Kobrin, Boris, Method and apparatus for anisotropic etching.
  55. Yanase, Toshihiro, Method and apparatus for plasma processing.
  56. Brown Dirk D., Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier.
  57. Lin, Mou-Shiung; Lee, Jin-Yuan; Huang, Ching-Cheng, Method for fabricating circuitry component.
  58. Huang Yimin,TWX, Method for fabricating dual damascene.
  59. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  60. Shinriki, Hiroshi; Jeong, Daekyun, Method for forming Ta-Ru liner layer for Cu wiring.
  61. Chan Simon S. ; Wang Fei ; Lukanc Todd, Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials.
  62. Cyril Cabral, Jr. ; Chao-Kun Hu ; Sandra Guy Malhotra ; Fenton Read McFeely ; Stephen Mark Rossnagel ; Andrew Herbert Simon, Method for forming an open-bottom liner for a conductor in an electronic structure and device formed.
  63. Marathe, Amit P.; Wang, Pin-Chin Connie; Woo, Christy Mei-Chu, Method for forming conductor reservoir volume for integrated circuit interconnects.
  64. Chine-Gie Lou TW, Method for forming copper dual damascene.
  65. Yeh Wen-Kuan,TWX ; Lin Wen-Jeng,TWX, Method for forming interconnect using dual damascene.
  66. Shinriki, Hiroshi; Namba, Kunitoshi; Jeong, Daekyun, Method for forming metal film by ALD using beta-diketone metal complex.
  67. J. Neal Cox, Method for making integrated circuits.
  68. Preusse, Axel; Friedemann, Michael; Seidel, Robert; Freudenberg, Berit, Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime.
  69. Pyo, Sung Gyu, Method of forming a metal wiring in a semiconductor device.
  70. Chih-Chien Liu TW; Jui-Tsen Huang TW; Yi-Fang Cheng TW; Ming-Sheng Yang TW, Method of forming dual damascene structure.
  71. Woo, Christy Mei-Chu; Pangrle, Suzette K.; Wang, Connie Pin-Chin, Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer.
  72. Song, Seung-Heon, Method of forming semiconductor device having a GAA type transistor.
  73. Kostamo, Juhana; Soininen, Pekka J.; Elers, Kai-Erik; Haukka, Suvi, Method of growing electrical conductors.
  74. Kostamo,Juhana; Soininen,Pekka J.; Elers,Kai Erik; Haukka,Suvi, Method of growing electrical conductors.
  75. Mei Sheng Zhou SG; John Leonard Sudijono SG; Subhash Gupta SG; Sudipto Ranendra Roy SG; Paul Kwok Keung Ho SG; Yi Xu SG; Simon Chooi SG; Yakub Aliyu SG, Method of manufacturing embedded organic stop layer for dual damascene patterning.
  76. Inoue Ken,JPX, Method of manufacturing semiconductor device having reliable contact structure.
  77. Ma, Shawming, Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures.
  78. Chooi, Simon; Aliyu, Yakub; Zhou, Mei Sheng; Sudijono, John Leonard; Gupta, Subhash; Roy, Sudipto Ranendra; Ho, Paul Kwok Keung; Xu, Yi, Method to create a copper diffusion deterrent interface.
  79. Yeow Kheng Lim SG; Alex See SG; Cher Liang Cha SG; Subhash Gupta SG; Wang Ling Goh SG; Man Siu Tse SG, Method to form high performance copper damascene interconnects by de-coupling via and metal line filling.
  80. Subhash Gupta SG; Yi Xu CA; Simon Chooi SG; Mei Sheng Zhou SG, Method to improve adhesion of organic dielectrics in dual damascene interconnects.
  81. Victor Seng Keong Lim SG; Feng Chen SG; Wang Ling Goh SG, Method to prevent CU dishing during damascene formation.
  82. Li Jianxun,SGX ; Zhou Mei Sheng,SGX ; Xu Yi,SGX ; Chooi Simon,SGX, Method to prevent degradation of low dielectric constant material in copper damascene interconnects.
  83. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  84. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  85. Pore, Viljami J.; Haukka, Suvi P.; Blomberg, Tom E.; Tois, Eva E., Methods for depositing nickel films and for making nickel silicide and nickel germanide.
  86. Kim, Jong Su; Park, Hyung Sang, Methods of depositing a ruthenium film.
  87. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  88. Woodruff, Jacob Huffman, Methods of forming metal silicides.
  89. Park, Hyuk, Multi-layered metal interconnection.
  90. Kim, Jeong Tae; Kim, Baek Mann; Kim, Soo Hyun; Lee, Young Jin; Jung, Dong Ha, Multi-layered metal line of semiconductor device for preventing diffusion between metal lines and method for forming the same.
  91. Simon Chooi SG; Subhash Gupta SG; Mei-Sheng Zhou SG; Sangki Hong SG, Non metallic barrier formations for copper damascene type interconnects.
  92. Chooi Simon,SGX ; Gupta Subhash,SGX ; Zhou Mei-Sheng,SGX ; Hong Sangki,SGX, Non-metallic barrier formation for copper damascene type interconnects.
  93. Chooi, Simon; Gupta, Subhash; Zhou, Mei-Sheng; Hong, Sangki, Non-metallic barrier formations for copper damascene type interconnects.
  94. Chooi, Simon; Gupta, Subhash; Zhou, Mei-Sheng; Hong, Sangki, Non-metallic barrier formations for copper damascene type interconnects.
  95. Simon Chooi SG; Subhash Gupta SG; Mei-Sheng Zhou SG; Sangki Hong SG, Non-metallic barrier formations for copper damascene type interconnects.
  96. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Passivation method for copper process.
  97. Soininen,Pekka Juha; Elers,Kai Erik, Process for producing integrated circuits including reduction using gaseous organic compounds.
  98. Feustel, Frank; Werner, Thomas; Grillberger, Michael; Frohberg, Kai, Reducing patterning variability of trenches in metallization layer stacks with a low-k material by reducing contamination of trench dielectrics.
  99. Shinriki, Hiroshi; Inoue, Hiroaki, Ruthenium alloy film for copper interconnects.
  100. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  101. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  102. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  103. Huotari, Hannu; Tuominen, Marko; Leinikka, Miika, Selective deposition of noble metal thin films.
  104. Lukanc, Todd P.; Wang, Fei; Erb, Darrell M., Self-aligned conductive plugs in a semiconductor device.
  105. Toyoda Yoshihiko,JPX ; Fukada Tetsuo,JPX ; Mori Takeshi,JPX ; Kitazawa Yoshiyuki,JPX, Semiconductor device having interconnect layer and method of manufacturing therefor.
  106. Park, Ki-Chul; Choi, Seung-Man, Semiconductor device having multi-layer copper line and method of forming same.
  107. Park, Ki-Chul; Choi, Seung-Man, Semiconductor device having multi-layer copper line and method of forming the same.
  108. Iguchi,Manabu; Matumoto,Akira; Komuro,Masahiro, Semiconductor device having multilayer interconnection structure and method for manufacturing the device.
  109. Yagi, Iwao, Semiconductor device, electronic device, and method of producing semiconductor device.
  110. Moon, Bum Ki; Shum, Danny Pak-Chum; Chae, Moosung, Semiconductor devices and methods of manufacture thereof.
  111. Moon, Bum Ki; Shum, Danny Pak-Chum; Chae, Moosung, Semiconductor devices and methods of manufacture thereof.
  112. Yang,Kai; Erb,Darrell M.; Wang,Fei, Stabilizing fluorine etching of low-k materials.
  113. Abdul-Ridha, Hadi; Young, David T.; Brongo, Maureen R., Structures based on ceramic tantalum nitride.
  114. Ono,Haruko; Takeda,Sachiko; Katakabe,Ichiro, Substrate processing method.
  115. Bao, Junjing; Bonilla, Griselda; Choi, Samuel S.; Filippi, Ronald G.; Lustig, Naftali E.; Simon, Andrew H., Subtractive etch interconnects.
  116. Woo, Christy Mei-Chu; Wang, Pin-Chin Connie; Marathe, Amit P., Via formation in integrated circuit interconnects.
  117. Chen, Shyng-Tsong; Holmes, Steven J.; Horak, David V.; Nogami, Takeshi; Ponoth, Shom; Yang, Chih-Chao, Via gouging methods and related semiconductor structure.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로