$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of depositing a low k dielectric with organo silane 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
출원번호 US-0021788 (1998-02-11)
발명자 / 주소
  • Yau Wai-Fan
  • Cheung David
  • Jeng Shin-Puu
  • Liu Kuowei
  • Yu Yung-Cheng
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson
인용정보 피인용 횟수 : 349  인용 특허 : 50

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can a

대표청구항

[ What is claimed is:] [1.] A method for depositing a low dielectric constant film, comprising reacting an organo silane compound and an oxidizing gas at plasma conditions sufficient to deposit a film on a substrate, the plasma conditions comprising a high frequency RF power density from about 0.16

이 특허에 인용된 특허 (50)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  3. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  4. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  5. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  6. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  7. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  8. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  9. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  10. Schlosberg Richard Henry ; Turner David Wayne ; Krevalis Martin Anthony ; Munley ; Jr. William Joseph ; Aldrich Haven Scott, High stability and low metals esters based on 3,5,5-trimethyl-1-hexanol.
  11. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  12. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  13. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  14. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  15. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  16. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  17. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  18. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  19. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  20. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  21. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  22. Bhan Mohan Krishan ; Subrahmanyam Sudhakar ; Gupta Anand ; Rana Virendra V. S., Method for improving film stability of fluorosilicate glass films.
  23. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  24. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  25. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  26. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  27. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  28. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  29. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  30. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  31. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  32. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  33. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  34. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  35. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  36. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  37. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  38. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  39. Malba Vincent, Process for 3D chip stacking.
  40. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  41. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  42. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  43. Jain Ajay, Process for forming a semiconductor device.
  44. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  45. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  46. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  47. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  48. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  49. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  50. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.

이 특허를 인용한 특허 (349)

  1. Wang, Yuchun; Bajaj, Rajeev; Redeker, Fred C., Additives to CMP slurry to polish dielectric films.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  3. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  4. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  5. Paik,Young J., Adjusting manufacturing process control parameter using updated process threshold derived from uncontrollable error.
  6. Demos, Alexandros T.; Xia, Li-Qun; Kim, Bok Hoen; Witty, Derek R.; M'Saad, Hichem, Air gap integration scheme.
  7. Hurley, Patrick Timothy; Ridgeway, Robert Gordon; Vrtis, Raymond Nicholas; O'Neill, Mark Leonard; Johnson, Andrew David, Antireflective coatings for photovoltaic applications.
  8. Benesch, Robert; Haouchine, Malik; Jacksier, Tracey, Articles of manufacture containing increased stability low concentration gases and methods of making and using the same.
  9. Benesch, Robert; Haouchine, Malik; Jacksier, Tracey, Articles of manufacture containing increased stability low concentration gases and methods of making and using the same.
  10. Benesch, Robert; Haouchine, Malik; Jacksier, Tracey, Articles of manufacture containing increased stability low concentration gases and methods of making and using the same.
  11. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence C.; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  12. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  13. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  14. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  15. Chin, Barry L.; Mak, Alfred W.; Lei, Lawrence Chung-Lai; Xi, Ming; Chung, Hua; Lai, Ken Kaung; Byun, Jeong Soo, Atomic layer deposition apparatus.
  16. Schwarm,Alexander T., Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools.
  17. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  18. Lang, Chi I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, CVD flowable gap fill.
  19. Wang, Feng; Lu, Victor Y.; Lu, Brian; Yau, Wai-Fan; Draeger, Nerissa; Gauri, Vishal; Humayun, Raashina; Danek, Michal; van Schravendijk, Bart; Nittala, Lakshminarayana, CVD flowable gap fill.
  20. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  21. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  22. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  23. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  24. Cho, Seon-Mee; Lee, Peter Wai-Man; Lang, Chi-I; Sugiarto, Dian; Chen, Chen-An; Xia, Li-Qun; Venkataraman, Shankar; Yieh, Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  25. Cho,Seon Mee; Lee,Peter Wai Man; Lang,Chi I; Sugiarto,Dian; Chen,Chen An; Xia,Li Qun; Venkataraman,Shankar; Yieh,Ellie, CVD plasma assisted lower dielectric constant SICOH film.
  26. Seon-Mee Cho ; Peter Wai-Man Lee ; Chi-I Lang ; Dian Sugiarto ; Chen-An Chen ; Li-Qun Xia ; Shankar Venkataraman ; Ellie Yieh, CVD plasma assisted lower dielectric constant sicoh film.
  27. Moore,John T.; Blalock,Guy T.; DeBoer,Scott Jeffrey, Capacitor constructions, DRAM constructions, and semiconductive material assemblies.
  28. Ohnishi, Sadayuki; Owto, Kouichi; Usami, Tatsuya; Morita, Noboru; Arita, Kouji; Kitao, Ryouhei; Sasaki, Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  29. Ohnishi,Sadayuki; Ohto,Kouichi; Usami,Tatsuya; Morita,Noboru; Arita,Kouji; Kitao,Ryouhei; Sasaki,Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  30. Ohnishi,Sadayuki; Ohto,Kouichi; Usami,Tatsuya; Morita,Noboru; Arita,Kouji; Kitao,Ryouhei; Sasaki,Youichi, Carbon containing silicon oxide film having high ashing tolerance and adhesion.
  31. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  32. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  33. Barry C. Arkles ; Youlin Pan ; Gerald Larson, Chloride-free process for the production of alkylsilanes suitable for microelectronic applications.
  34. Yin, Zhiping; Iyer, Ravi; Glass, Thomas R.; Holscher, Richard; Niroomand, Ardavan; Somerville, Linda K.; Sandhu, Gurtej S., Circuitry and gate stacks.
  35. Wilbur G. Catabay ; Wei-Jen Hsia ; Kai Zhang, Composite low dielectric constant film for integrated circuit structure.
  36. Li, Weimin; Yin, Zhiping, Composition of matter.
  37. Li,Weimin; Yin,Zhiping, Compositions of matter and barrier layer compositions.
  38. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  39. Arackaparambil,John F.; Chi,Tom; Chow,Billy; D'Souza,Patrick M.; Hawkins,Parris; Huang,Charles; Jensen,Jett; Krishnamurthy,Badri N.; Kulkarni,Pradeep M.; Kulkarni,Prakash M.; Lin,Wen Fong; Mohan,Shan, Computer integrated manufacturing techniques.
  40. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  41. Paik, Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  42. Paik,Young Joseph, Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life.
  43. Allman, Derryl D. J.; Saopraseuth, Ponce, Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants.
  44. Shanmugasundram, Arulkumar; Parikh, Suketu A., Copper wiring module control.
  45. Sun,Lizhong; Li,Shijian; Redeker,Fred C., Cu CMP polishing pad cleaning.
  46. Smith,Patricia B.; Matz,Phillip D., Damage-free resist removal process for ultra-low-k processing.
  47. Uglow, Jay E.; Bright, Nicolas J.; Hemker, Dave J.; MacWilliams, Kenneth P.; Benzing, Jeffrey C.; Archer, Timothy M., Dual-damascene dielectric structures.
  48. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  49. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  50. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T., Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing.
  51. Paik, Young Jeen, Dynamic offset and feedback threshold.
  52. Chi, Yueh-Shian; Hawkins, Parris C M; Huang, Charles Q., Dynamic subject information generation in message services of distributed object systems.
  53. Chi,Yueh shian T.; Hawkins,Parris C. M.; Huang,Charles Q., Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility.
  54. Wang,You; Tsai,Stan D.; Karuppiah,Lakshmanan; Diao,Jie; Jia,Renhe; Yilmaz,Alpay, Electrochemical method for Ecmp polishing pad conditioning.
  55. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  56. Boiteux, Yves Pierre; Chen, Hui; Gregoratto, Ivano; Hsieh, Chang-Lin; Hung, Hoiman; Tang, Sum-Yee Betty, Etch process for dielectric materials comprising oxidized organo silane materials.
  57. Kanegae, Kenshi; Imai, Shinichi; Nakagawa, Hideo, Etching method, semiconductor and fabricating method for the same.
  58. Kanegae, Kenshi; Imai, Shinichi; Nakagawa, Hideo, Etching method, semiconductor and fabricating method for the same.
  59. Kanegae,Kenshi; Imai,Shinichi; Nakagawa,Hideo, Etching method, semiconductor and fabricating method for the same.
  60. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Prabhu, Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  61. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Prabhu,Gopalakrishna B., Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles.
  62. Shanmugasundram, Arulkumar P.; Schwarm, Alexander T.; Iliopoulos, Ilias; Parkhomovsky, Alexander; Seamons, Martin J., Feedback control of plasma-enhanced chemical vapor deposition processes.
  63. Paik,Young Joseph, Feedforward and feedback control for conditioning of chemical mechanical polishing pad.
  64. Gauri, Vishal; Humayun, Raashina; Lang, Chi-I; Huang, Judy H.; Barnes, Michael; Shanker, Sunil, Flowable film dielectric gap fill process.
  65. Mui, Collin K. L.; Nittala, Lakshminarayana; Draeger, Nerissa, Flowable oxide deposition using rapid delivery of process gases.
  66. Draeger, Nerissa; Shannon, Karena; van Schravendijk, Bart; Ashtiani, Kaihan, Flowable oxide film with tunable wet etch rate.
  67. Draeger, Nerissa; Shannon, Karena; van Schravendijk, Bart; Ashtiani, Kaihan, Flowable oxide film with tunable wet etch rate.
  68. Xu, Ping; Lee, Jia; Lou, Ishing; Xia, Li-Qun, Fluorine-containing layers for damascene structures.
  69. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  70. Liu, Yauh-Ching; Castagnetti, Ruggero; Venkatraman, Ramnath, Fuse construction for integrated circuit structure having low dielectric constant dielectric material.
  71. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  72. Chi, Yuehshian T.; Hawkins, Parris C. M.; Jin, Qiaolin, Generic interface builder.
  73. Jeremy I. Martin ; Ting Yiu Tsui, Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films.
  74. Cerny, Glenn Allen; Hwang, Byung Keun; Loboda, Mark Jon, H:SiOC coated substrates.
  75. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Hardness improvement of silicon carboxy films.
  76. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  77. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  78. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  79. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  80. Nowak, Thomas; Rocha-Alvarez, Juan Carlos; Kaszuba, Andrzej; Hendrickson, Scott A.; Ho, Dustin W.; Baluja, Sanjeev; Cho, Tom; Chang, Josephine; M'Saad, Hichem, High efficiency UV curing system.
  81. Gary Dabbaugh ; Gerald W. Gibson, Jr. ; Troy A. Giniecki ; Kurt G. Steiner, Hydrogenated silicon carbide as a liner for self-aligning contact vias.
  82. Aug Arthur Khoon Siah,SGX ; Chen Feng,SGX ; Li Qiong,SGX, IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer.
  83. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  84. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  85. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  86. Wise, Richard S.; Deshpande, Sadanand V.; Yan, Wendy; Allen, Soctt D.; Mahorowala, Arpan P., In-situ plasma etch for TERA hard mask materials.
  87. Jacksier, Tracey; Benesch, Robert, Increased stability low concentration gases, products comprising same, and methods of making same.
  88. Matsuki, Nobuo; Hyodo, Yasuyoshi; Yamaguchi, Masashi; Morisada, Yoshinori; Fukazawa, Atsuki; Kato, Manabu, Insulation film on semiconductor substrate and method for forming same.
  89. Matsuki, Nobuo; Hyodo, Yasuyoshi; Yamaguchi, Masashi; Morisada, Yoshinori; Fukazawa, Atsuki; Kato, Manabu; Kaneko, Shinya; Kumar, Devendra; Umemoto, Seijiro, Insulation film on semiconductor substrate and method for forming same.
  90. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  91. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  92. Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integrating tool, module, and fab level control.
  93. Reiss,Terry P.; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T., Integration of fault detection with run-to-run control.
  94. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Gotuaco, Margaret, Integration scheme for dual damascene structure.
  95. Aoi Nobuo,JPX, Interconnect structure and method for forming the same.
  96. Aoi, Nobuo, Interconnect structure and method for forming the same.
  97. Aoi, Nobuo, Interconnect structure and method for forming the same.
  98. Aoi Nobuo,JPX, Interconnection structure and method for forming the same.
  99. Wang, Yuchun; Tsai, Stan D.; Wijekoon, Kapila; Bajaj, Rajeev; Redeker, Fred C., Ion exchange materials for chemical mechanical polishing.
  100. Seamons,Martin Jay; Yeh,Wendy H.; Rathi,Sudha S. R.; Padhi,Deenesh; Luan,Andy (Hsin Chiao); Tang,Sum Yee Betty; Kulkarni,Priya; Sivaramakrishnan,Visweswaren; Kim,Bok Hoen; M'Saad,Hichem; Wang,Yuxiang May; Kwan,Michael Chiu, Liquid precursors for the CVD deposition of amorphous carbon films.
  101. Rose,Peter; Lopata,Eugene; Felts,John, Low κ dielectric inorganic/organic hybrid films and method of making.
  102. Li,Weimin; Yin,Zhiping; Budge,William, Low K interlevel dielectric layer fabrication methods.
  103. Ko,Sen Hou; Song,Kevin H., Low cost and low dishing slurry for polysilicon CMP.
  104. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  105. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  106. Sanjeev Jain ; Somnath Nag ; Gerrit Kooi ; M. Ziaul Karim ; Kenneth P. MacWilliams, Low dielectric constant etch stop films.
  107. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Low dielectric constant film produced from silicon compounds comprising silicon-carbon bond.
  108. Yau,Wai Fan; Cheung,David; Jeng,Shin Puu; Liu,Kuowei; Yu,Yung Cheng, Low dielectric constant film produced from silicon compounds comprising silicon-carbon bonds.
  109. O'Neill, Mark Leonard; Peterson, Brian Keith; Vincent, Jean Louise; Vrtis, Raymond Nicholas, Low dielectric constant material and method of processing by CVD.
  110. O'Neill,Mark Leonard; Lukas,Aaron Scott; Bitner,Mark Daniel; Vincent,Jean Louise; Vrtis,Raymond Nicholas; Peterson,Brian K., Low dielectric constant material and method of processing by CVD.
  111. Catabay, Wilbur G.; Schinella, Richard, Low k dielectric composite layer for integrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning.
  112. Wilbur G. Catabay ; Richard Schinella, Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning.
  113. Van Cleemput, Patrick A.; Ndiege, Nicholas Muga; Mohn, Jonathan D., Low k dielectric deposition via UV driven photopolymerization.
  114. Li, Weimin; Yin, Zhiping; Budge, William, Low k interlevel dielectric layer fabrication methods.
  115. Li,Weimin; Yin,Zhiping; Budge,William, Low k interlevel dielectric layer fabrication methods.
  116. Rose, Peter; Lopata, Eugene; Felts, John, Low κ dielectric inorganic/organic hybrid films and method of making.
  117. Ndiege, Nicholas Muga; Nittala, Krishna; Wong, Derek B.; Antonelli, George Andrew; Draeger, Nerissa Sue; Van Cleemput, Patrick A., Low-K oxide deposition by hydrolysis and condensation.
  118. Mayorga, Steven Gerard; O'Neill, Mark Leonard; Chandler, Kelly A., Low-impurity organosilicon product as precursor for CVD.
  119. Mayorga, Steven Gerard; O'Neill, Mark Leonard; Chandler, Kelly Ann, Low-impurity organosilicon product as precursor for CVD.
  120. Todd, Michael A.; Yoshida, Tominori, Low-k dielectric materials and processes.
  121. Seta, Shoji; Sekine, Makoto; Nakamura, Naofumi, Manufacturing method of semiconductor devices by using dry etching technology.
  122. Vrtis, Raymond Nicholas; Wu, Dingjun; O'Neill, Mark Leonard; Bitner, Mark Daniel; Vincent, Jean Louise; Karwacki, Jr., Eugene Joseph; Lukas, Aaron Scott, Materials and methods of forming controlled void.
  123. Vrtis, Raymond Nicholas; Wu, Dingjun; O'Neill, Mark Leonard; Bitner, Mark Daniel; Vincent, Jean Louise; Karwacki, Jr., Eugene Joseph; Lukas, Aaron Scott, Materials and methods of forming controlled void.
  124. Vincent, Jean Louise; O'Neill, Mark Leonard; Vrtis, Raymond Nicholas; Lukas, Aaron Scott; Peterson, Brian Keith; Bitner, Mark Daniel, Mechanical enhancer additives for low dielectric films.
  125. Yim,Kang Sub; Sen,Soovo; Sugiarto,Dian; Lee,Peter; Yieh,Ellie, Method and apparatus for deposition of low dielectric constant materials.
  126. Wang,Yan; Tsai,Stan D.; Hu,Yongqi; Liu,Feng Q.; Chen,Liang Yuh; Mao,Daxin; Tran,Huyen Karen; Wohlert,Martin S.; Jia,Renhe; Tian,Yuan A., Method and apparatus for reduced wear polishing pad conditioning.
  127. Huang, Judy; Bencher, Chris; Rathi, Sudha, Method and apparatus for reducing fixed charges in a semiconductor device.
  128. Darin S. Olson ; Tirunelveli S. Ravi ; Richard S. Swope ; Jerrod Paul Krebs, Method and apparatus for use of hydrogen and silanes in plasma.
  129. Sun,Lizhong; Tsai,Stan; Li,Shijian, Method and composition for the removal of residual materials during substrate planarization.
  130. Tsai, Stan; Sun, Lizhong; Li, Shijian, Method and composition for the selective removal of residual materials and barrier materials during substrate planarization.
  131. Gopinath, Venkatesh P.; Kamath, Arvind; Mirabedini, Mohammad R.; Lee, Ming-Yi, Method characterizing materials for a trench isolation structure having low trench parasitic capacitance.
  132. Lizhong Sun ; Shijian Li ; Fritz Redeker, Method for abrasive-free metal CMP in passivation domain.
  133. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Venkataraman, Shankar, Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy.
  134. Gaillard, Frederic; Nemani, Srinivas D., Method for depositing a low dielectric constant film.
  135. Bao, Tien-I; Ko, Chung-Chi; Li, Lih-Ping; Jang, Syun-Ming, Method for forming a carbon doped oxide low-k insulating layer.
  136. Fei Wang, Method for forming backend interconnect with copper etching and ultra low-k dielectric materials.
  137. Tien-I Bao TW; Syun-Ming Jang TW, Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer.
  138. Nobuo Matsuki JP, Method for forming film with low dielectric constant on semiconductor substrate.
  139. Bao,Tien J; Li,Lih Ping; Jang,Syun Ming, Method for forming openings in low-k dielectric layers.
  140. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  141. Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric; Yim,Kang Sub, Method for forming ultra low k films using electron beam.
  142. Leu, Jihperng; Wu, Chih-I; Zhou, Ying; Kloster, Grant M., Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics.
  143. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  144. Loboda, Mark Jon; Seifferly, Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  145. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  146. Draeger, Nerissa Sue; Ashtiani, Kaihan Abidi; Padhi, Deenesh; Wong, Derek B.; van Schravendijk, Bart J.; Antonelli, George Andrew; Kolics, Artur; Zhao, Lie; van Cleemput, Patrick A., Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor.
  147. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition.
  148. Gaillard,Frederic; Xia,Li Qun; Lim,Tian Hoe; Yieh,Ellie; Yau,Wai Fan; Jeng,Shin Puu; Liu,Kuowei; Lu,Yung Cheng, Method of decreasing the k value in sioc layer deposited by chemical vapor deposition.
  149. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  150. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  151. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  152. Lin Zhang ; Wen Ma ; Zhuang Li, Method of depositing a nitrogen-doped FSG layer.
  153. Wang, Yuxiang May; Rathi, Sudha S. R.; Kwan, Michael Chiu; M'Saad, Hichem, Method of depositing an amorphous carbon film for etch hardmask application.
  154. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  155. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  156. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  157. Lee, Ju-Hyung; Xu, Ping; Venkataraman, Shankar; Xia, Li-Qun; Han, Fei; Yieh, Ellie; Nemani, Srinivas D.; Yim, Kangsub; Moghadam, Farhad K.; Sinha, Ashok K.; Zheng, Yi, Method of depositing dielectric materials in damascene applications.
  158. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  159. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  160. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  161. Yau, Wai-Fan; Lee, Ju-Hyung; Chopra, Nasreen Gazala; Huang, Tzu-Fang; Cheung, David; Moghadam, Farhad; Liu, Kuo-Wei; Lu, Yung-Cheng; Willecke, Ralf B.; Matthews, Paul; Sugiarto, Dian, Method of depositing low dielectric constant carbon doped silicon oxide.
  162. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  163. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  164. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  165. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  166. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  167. Huang,Tzu Fang; Lu,Yung Cheng; Xia,Li Qun; Yieh,Ellie; Yau,Wai Fan; Cheung,David W.; Willecke,Ralf B.; Liu,Kuowei; Lee,Ju Hyung; Moghadam,Farhad K.; Ma,Yeming Jim, Method of depositing low k films.
  168. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  169. Gaillard, Frederick; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie, Method of depositing organosilicate layers.
  170. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  171. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  172. Watatani, Hirofumi, Method of fabricating a semiconductor device having a multilayered interconnection structure.
  173. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Pan,Rong; Hernandez,Manuel; Mohammad,Amna, Method of feedback control of sub-atmospheric chemical vapor deposition processes.
  174. Weidman, Timothy; Bekiaris, Nikolaos; Chang, Josephine; Nguyen, Phong H., Method of forming a dual damascene structure using an amorphous silicon hard mask.
  175. Bekiaris,Nikolaos; Weidman,Timothy; Armacost,Michael D.; Naik,Mehul B., Method of forming a dual damascene structure utilizing a three layer hard mask structure.
  176. Zhao, Bin; Brongo, Maureen R., Method of forming dual-damascene interconnect structures employing low-k dielectric materials.
  177. Hendriks, Menso; Tsuji, Naoto; Takahashi, Satoshi, Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power.
  178. Wai-Fan Yau ; David Cheung ; Nasreen Gazala Chopra ; Yung-Cheng Lu ; Robert Mandal ; Farhad Moghadam, Method of improving moisture resistance of low dielectric constant films.
  179. Yau, Wai-Fan; Cheung, David; Chopra, Nasreen Gazala; Lu, Yung-Cheng; Mandal, Robert; Moghadam, Farhad, Method of improving moisture resistance of low dielectric constant films.
  180. Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun, Method of improving stability in low k barrier layers.
  181. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  182. Kim, Yong-Bae; Schoenborn, Philippe; Zhang, Kai, Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning.
  183. Sasaki, Yoichi; Ohto, Koichi; Morita, Noboru; Usami, Tatsuya; Miyamoto, Hidenobu, Method of manufacturing a semiconductor device.
  184. Gopinath,Venkatesh P.; Kamath,Arvind; Mirabedini,Mohammad R.; Lee,Ming Yi, Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance.
  185. Kurt G. Steiner ; Susan C. Vitkavage, Method of manufacturing an interconnect structure having a passivation layer for preventing subsequent processing reactions.
  186. Schmitt,Francimar Campana; Xia,Li Qun; Nguyen,Son Van; Venkataraman,Shankar, Method of modifying interlayer adhesion.
  187. Li,Si Yi; Zhu,Helen H.; Sadjadi,S. M. Reza; Tietz,James V.; Helmer,Bryan A., Method of plasma etching low-k dielectric materials.
  188. Usami,Tatsuya, Method of producing a semiconductor device having a multi-layered insulation film.
  189. Tseng Horng-Huei,TWX, Method of selectively forming a barrier layer from a directionally deposited metal layer.
  190. Subhash Gupta SG; Yi Xu CA; Simon Chooi SG; Mei Sheng Zhou SG, Method to improve adhesion of organic dielectrics in dual damascene interconnects.
  191. Cheng Chung Lin TW; Shwang Ming Jeng TW; Lain Jong Li TW, Method to improve the crack resistance of CVD low-k dielectric constant material.
  192. Liu, Yijun; Xu, Huiwen; Xia, Li-Qun; Peterson, Chad; M'Saad, Hichem, Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film.
  193. Yim,Kang Sub; Chan,Kelvin; Rajagopalan,Nagarajan; Liu,Josephine Ju Hwei Chang; Ahn,Sang H.; Zheng,Yi; Yi,Sang In; Nguyen,Vu Ngoc Tran; Demos,Alexandros T., Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers.
  194. Kokotov,Yuri; Entin,Efim; Seror,Jacques; Fisher,Yossi; Sarel,Shalomo; Shanmugasundram,Arulkumar P.; Schwarm,Alexander T.; Paik,Young Jeen, Method, system and medium for controlling manufacture process having multivariate input parameters.
  195. Al Bayati,Amir; Adibi,Babak; Foad,Majeed; Somekh,Sasson, Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements.
  196. Shanmugasundram,Arulkumar P.; Armer,Helen; Schwarm,Alexander T., Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities.
  197. Schwarm,Alexander T.; Shanmugasundram,Arulkumar P.; Seror,Jacques; Kokotov,Yuri; Entin,Efim, Method, system, and medium for handling misrepresentative metrology data within an advanced process control system.
  198. Moghadam, Farhad; Zhao, Jun; Weidman, Timothy; Roberts, Rick J.; Xia, Li-Qun; Demos, Alexandros T., Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices.
  199. Moghadam,Farhad; Zhao,Jun; Weidman,Timothy; Roberts,Rick J.; Xia,Li Quan; Demos,Alexandros T., Methods and apparatus for e-beam treatment used to fabricate integrated circuit devices.
  200. Al-Bayati, Amir; Demos, Alexandros T.; Yim, Kang Sub; Naik, Mehul; Cui, Zhenjiang “David”; Balseanu, Mihaela; Shek, Meiyee (Maggie Le); Xia, Li-Qun, Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay.
  201. Hsu, Wei-Yung; Prabhu, Gopalakrishna B.; Sun, Lizhong; Carl, Daniel A., Methods and compositions for chemical mechanical polishing.
  202. Bonner, Benjamin A.; Iyer, Anand N.; Kumar, Deepak N.; Osterheld, Thomas H.; Hsu, Wei-Yung; Kim, Yong-Sik R.; Smith, Christopher W.; Zhang, Huanbo, Methods and compositions for chemical mechanical polishing shallow trench isolation substrates.
  203. Xiao, Manchao; Lei, Xinjian; Spence, Daniel P.; Chandra, Haripin; Han, Bing; O'Neill, Mark Leonard; Mayorga, Steven Gerard; Mallikarjunan, Anupama, Methods for depositing films with organoaminodisilane precursors.
  204. Uglow,Jay E.; Bright,Nicolas J.; Hemker,Dave J.; MacWilliams,Kenneth P.; Benzing,Jeffrey C.; Archer,Timothy M., Methods for making dual-damascene dielectric structures.
  205. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  206. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  207. Yuan, Zheng, Methods of forming gap fill and layers formed thereby.
  208. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  209. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  210. Lee, Kwangduk Douglas; Rathi, Sudha; Chan, Chiu; Seamons, Martin J.; Kim, Bok Heon, Methods of removing a material layer from a substrate using water vapor treatment.
  211. Parikh, Suketu A., Misalignment tolerant techniques for dual damascene fabrication.
  212. Somekh, Sasson; Grunes, Howard E., Multi-tool control system, method and medium.
  213. Babich, Katherina E.; Carruthers, Roy Arthur; Dalton, Timothy Joseph; Grill, Alfred; Hedrick, Jeffrey Curtis; Jahnes, Christopher Vincent; Mays, Ebony Lynn; Perraud, Laurent; Purushothaman, Sampath; , Multilayer interconnect structure containing air gaps and method for making.
  214. Babich,Katherina E.; Carruthers,Roy Arthur; Dalton,Timothy Joseph; Grill,Alfred; Hedrick,Jeffrey Curtis; Jahnes,Christopher Vincent; Mays,Ebony Lynn; Perraud,Laurent; Purushothaman,Sampath; Saenger,K, Multilayer interconnect structure containing air gaps and method for making.
  215. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  216. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  217. Mayorga, Steven Gerard; Bowen, Heather Regina; Lei, Xinjian; Xiao, Manchao; Chandra, Haripin; Mallikarjunan, Anupama; Pearlstein, Ronald Martin, Organoaminodisilane precursors and methods for depositing films comprising same.
  218. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  219. Catabay, Wilbur G.; Hsia, Wei-Jen, PROCESS FOR FORMING COMPOSITE OF BARRIER LAYERS OF DIELECTRIC MATERIAL TO INHIBIT MIGRATION OF COPPER FROM COPPER METAL INTERCONNECT OF INTEGRATED CIRCUIT STRUCTURE INTO ADJACENT LAYER OF LOW K DIELE.
  220. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  221. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  222. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  223. Ramin Emami ; Shijian Li ; Sen-Hou Ko ; Fred C. Redeker ; Madhavi Chandrachood, Planarized Cu cleaning for reduced defects.
  224. Emami,Ramin; Li,Shijian; Ko,Sen Hou; Redeker,Fred C.; Chandrachood,Madhavi, Planarized copper cleaning for reduced defects.
  225. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  226. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  227. Law,Kam S.; Shang,Quanyuan; Takehara,Takako; Won,Taekyung; Harshbarger,William R.; Maydan,Dan, Plasma display panel with a low K dielectric layer.
  228. Law, Kam S.; Shang, Quanyuan; Takehara, Takako; Won, Taekyung; Harshbarger, William R.; Maydan, Dan, Plasma display panel with a low k dielectric layer.
  229. Rocha-Alvarez, Juan Carlos; Zhao, Maosheng; Yu, Ying; Venkataraman, Shankar; Nemani, Srinivas D.; Xia, Li-Qun, Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power.
  230. Lang, Chi-I; Jeng, Shin-Puu; Ma, Yeming Jim; Chang, Fong; Lee, Peter Wai-Man; Cheung, David W., Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds.
  231. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  232. Cheung, David; Yau, Wai Fan; Mandal, Robert P.; Jeng, Shin Puu; Liu, Kuo Wei; Lu, Yung Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  233. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  234. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  235. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  236. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure.
  237. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure.
  238. Huang,Judy, Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers.
  239. Prabhu,Gopalakrishna B.; Osterheld,Thomas H.; Leung,Garlen C.; Zhong,Adam H.; McReynolds,Peter; Tao,Yi Yung; Menk,Gregory E.; Mohan,Vasanth N.; Lee,Christopher Heung Gyun, Polishing processes for shallow trench isolation substrates.
  240. Nicholas F. Pasch, Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines.
  241. Li-Qun Xia ; Frederic Gaillard FR; Ellie Yieh ; Tian H. Lim, Post-deposition treatment to enhance properties of Si-O-C low K films.
  242. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Post-deposition treatment to enhance properties of Si-O-C low K films.
  243. Xia, Li-Qun; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Post-deposition treatment to enhance properties of Si-O-C low films.
  244. Xia,Li Qun; Gaillard,Frederic; Yieh,Ellie; Lim,Tian H., Post-deposition treatment to enhance properties of Si-O-C low k films.
  245. Paik,Young J., Process control by distinguishing a white noise component of a process variance.
  246. Paik,Young Jeen, Process control by distinguishing a white noise component of a process variance.
  247. Todd, Michael A., Process for depositing low dielectric constant materials.
  248. Todd,Michael A., Process for depositing low dielectric constant materials.
  249. Zubkov, Vladimir; Aronowitz, Sheldon, Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material.
  250. Aronowitz, Sheldon; Zubkov, Vladimir, Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material.
  251. Aronowitz, Sheldon; Zubkov, Vladimir, Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation.
  252. Allman, Derryl D. J.; Saopraseuth, Ponce; Bhatt, Hemanshu D., Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure.
  253. Catabay, Wilbur G.; Hsia, Wei-Jen, Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface.
  254. Bhatt, Hemanshu D.; Ahmed, Shafqat; Banerjee, Robindranath, Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines.
  255. Wilbur G. Catabay ; Wei-Jen Hsia ; Dung-Ching Perng, Process for forming low K dielectric material between metal lines.
  256. Sukharev Valeriy ; Hsia Wei-Jen, Process for forming low k silicon oxide dielectric material while suppressing pressure spiking and inhibiting increase in dielectric constant.
  257. Pallinti, Jayanthi; Lee, Dawn M.; Nagahara, Ronald J., Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate.
  258. Nagahara, Ronald J.; Pallinti, Jayanthi; Lee, Dawn Michelle, Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures.
  259. Ronald J. Nagahara ; Jayanthi Pallinti ; Dawn Michelle Lee, Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures.
  260. Catabay, Wilbur G.; Schinella, Richard; Wang, Zhihai; Hsia, Wei-Jen, Process for planarizing upper surface of damascene wiring structure for integrated circuit structures.
  261. Gu, Sam; Pritchard, David; Allman, Derryl D. J.; Saopraseuth, Ponce; Reder, Steve, Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal.
  262. Weigel, Scott Jeffrey; O'Neill, Mark Leonard; Haas, Mary Kathryn; Matz, Laura M.; Mitchell, Glenn Michael; Wu, Aiping; Vrtis, Raymond Nicholas; Langan, John Giles, Process for restoring dielectric properties.
  263. Hsieh, Chang-Lin; Yuan, Jie; Chen, Hui; Panagopoulos, Theodoros; Ye, Yan, Process for selectively etching dielectric layers.
  264. Catabay, Wilbur G.; Hsia, Wei-Jen, Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric materia.
  265. Chang Chung-Long,TWX ; Jang Syun-Ming,TWX, Process to improve adhesion of HSQ to underlying materials.
  266. Todd, Michael A., Processes for depositing low dielectric constant materials.
  267. Hu, John Rongxiang; Zhang, Kai; Arthanari, Senthil K.; Lu, Hong-Qiang Michael, Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines.
  268. Rocha-Alvarez, Juan Carlos; Chen, Chen-An; Yieh, Ellie; Venkataraman, Shankar, Purge heater design and process development for the improvement of low k film properties.
  269. Jacksier, Tracey; Benesch, Robert; Haouchine, Malik, Reactive gases with concentrations of increased stability and processes for manufacturing same.
  270. Jacksier, Tracey; Benesch, Robert; Haouchine, Malik, Reactive gases with concentrations of increased stability and processes for manufacturing same.
  271. Jacksier,Tracey; Benesch,Robert; Kuhn,John, Reduced moisture compositions comprising an acid gas and a matrix gas, articles of manufacture comprising said compositions, and processes for manufacturing same.
  272. Jacksier,Tracey; Benesch,Robert; Kuhn,John, Reduced moisture compositions comprising an acid gas and a matrix gas, articles of manufacture comprising said compositions, and processes for manufacturing same.
  273. Bencher,Christopher Dennis, Removable amorphous carbon CMP stop.
  274. Kloster,Grant; Wu,Chih I; Morrow,Xiaorong, Sealing porous dielectrics with silane coupling reagents.
  275. Lee, Sang M.; Zubkov, Vladimir; Cui, Zhenijiang; Shek, Meiyee; Xia, Li-Qun; M'Saad, Hichem, Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface.
  276. Nguyen,Huong Thanh; Barnes,Michael Scott; Xia,Li Qun; Naik,Mehul, Selective etching of organosilicate films over silicon oxide stop etch layers.
  277. Nguyen,Huong Thanh; Barnes,Michael Scott; Xia,Li Qun; Naik,Mehul, Selective etching of organosilicate films over silicon oxide stop etch layers.
  278. Padhi,Deenesh; Gandikota,Srinivas; Naik,Mehul; Parikh,Suketu A.; Dixit,Girish A., Selective metal encapsulation schemes.
  279. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions.
  280. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor constructions having antireflective portions.
  281. Seta, Shoji; Sekine, Makoto; Nakamura, Naofumi, Semiconductor device and manufacturing method of the same.
  282. Seta,Shoji; Sekine,Makoto; Nakamura,Naofumi, Semiconductor device and manufacturing method of the same.
  283. Yokoyama Takashi,JPX, Semiconductor device and method of fabricating the same.
  284. Shioya, Yoshimi; Kotake, Yuichiro; Suzuki, Tomomi; Ikakura, Hiroshi; Maeda, Kazuo, Semiconductor device and method of manufacturing the same.
  285. Usami, Tatsuya, Semiconductor device and semiconductor wafer having a multi-layered insulation film.
  286. Hirofumi Watatani JP, Semiconductor device having a multilayered interconnection structure.
  287. Watatani Hirofumi,JPX, Semiconductor device having a multilayered interconnection structure.
  288. Bao, Tien-I; Jang, Syun-Ming, Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method.
  289. Cheung,Robin W.; Sinha,Ashok K., Semiconductor device interconnect fabricating techniques.
  290. Usami, Tatsuya, Semiconductor device, semiconductor wafer, and methods of producing same device and wafer.
  291. Usami, Tatsuya, Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer.
  292. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor devices having antireflective material.
  293. Li, Weimin; Yin, Zhiping, Semiconductor devices, and semiconductor processing methods.
  294. Holscher, Richard; Yin, Zhiping; Glass, Tom, Semiconductor processing methods.
  295. Li,Weimin; Li,John Q., Semiconductor processing methods.
  296. Sandhu, Gurtej S.; Sharan, Sujit, Semiconductor processing methods of forming an utilizing antireflective material layers, and methods of forming transistor gate stacks.
  297. Sandhu,Gurtej S.; Sharan,Sujit, Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks.
  298. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials.
  299. DeBoer, Scott Jeffrey; Moore, John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  300. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  301. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  302. DeBoer,Scott Jeffrey; Moore,John T., Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  303. Scott Jeffrey DeBoer ; John T. Moore, Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride.
  304. Zhiping Yin ; Ravi Iyer ; Thomas R. Glass ; Richard Holscher ; Ardavan Niroomand ; Linda K. Somerville ; Gurtej S. Sandhu, Semiconductor processing methods, semiconductor circuitry, and gate stacks.
  305. Jaim Nulman, Semiconductor processing techniques.
  306. Jaim Nulman, Semiconductor processing techniques.
  307. Lyon, Richard C., Semiconductor processing techniques.
  308. Nulman Jaim, Semiconductor processing techniques.
  309. Nulman, Jaim, Semiconductor processing techniques.
  310. May, Charles E.; Bhatt, Hemanshu, Semiconductor wafer arrangement and method of processing a semiconductor wafer.
  311. Saha, Atanu; Joshi, Salil Mohan; Zhang, An-Ping, SiOC membranes and methods of making the same.
  312. Li-Qun Xia ; Paul Fisher ; Margaret Lynn Gotuaco ; Frederic Gaillard FR; Ellie Yieh, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  313. Xia, Li-Qun; Fisher, Paul; Gotuaco, Margaret Lynn; Gaillard, Frederic; Yieh, Ellie, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  314. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low dielectric constant anti-reflective coating.
  315. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating.
  316. Matsuki, Nobuo, Silicone polymer insulation film on semiconductor substrate.
  317. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  318. Nobuo Matsuki JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  319. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  320. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  321. Matsuki, Nobuo; Sik, Lee Jea; Morisada, Yoshinori; Takahashi, Satoshi, Siloxan polymer film on semiconductor substrate.
  322. Matsuki, Nobuo; Sik, Lee Jea; Morisada, Yoshinori; Takahashi, Satoshi, Siloxan polymer film on semiconductor substrate and method for forming same.
  323. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  324. Lain-Jong Li TW; Tien-I Bao TW; Cheng-Chung Lin TW; Syun-Ming Jang TW, Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties.
  325. Sun, Lizhong; Tsai, Stan D; Li, Shijian; White, John M, Solution to metal re-deposition during substrate planarization.
  326. DeBoer,Scott Jeffrey; Moore,John T., Structures comprising a layer free of nitrogen between silicon nitride and photoresist.
  327. Mohn, Jonathan D.; te Nijenhuis, Harald; Hamilton, Shawn M.; Madrigal, Kevin; Lingampalli, Ramkishan Rao, System and apparatus for flowable deposition in semiconductor fabrication.
  328. McDermott, Wayne Thomas; Ockovic, Richard Carl; Roth, Dean Van-John, System and method comprising same for measurement and/or analysis of particles in gas stream.
  329. Schwarm,Alexander T., System, method, and medium for monitoring performance of an advanced process control system.
  330. Surana,Rahul; Zutshi,Ajoy, Technique for process-qualifying a semiconductor manufacturing tool using metrology data.
  331. Xia, Li-Qun; Geiger, Fabrice; Gaillard, Frederic; Yieh, Ellie; Lim, Tian H., Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film.
  332. Reilly, Patrick; te Nijenhuis, Harald; Draeger, Nerissa; van Schravendijk, Bart J.; Ndiege, Nicholas Muga, Treatment for flowable dielectric deposition on substrate surfaces.
  333. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  334. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  335. Yim,Kang Sub; Zheng,Yi; Nemani,Srinivas D.; Xia,Li Qun; Hollar,Eric P., Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD).
  336. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  337. Jih-Churng Twu TW; Ying-Ho Chen TW; Tsu Shih TW; Syun-Ming Jang TW, Underlayer liner for copper damascene in low k dielectric.
  338. Singh, Vinita; Nemani, Srinivas D.; Zheng, Yi; Li, Lihua; Huang, Tzu-Fang; Xia, Li-Qun; Yieh, Ellie, Use of cyclic siloxanes for hardness improvement of low k dielectric films.
  339. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  340. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  341. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  342. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  343. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  344. Mandal, Robert P., Very low dielectric constant plasma-enhanced CVD films.
  345. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  346. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  347. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  348. Mandal,Robert P., Very low dielectric constant plasma-enhanced CVD films.
  349. Cordova, Sherry; Doyle, Terry L.; Kroupnova, Natalia; Lobovski, Evgueni; Louneva, Inna; Lyon, Richard C.; Nishimura, Yukari; Nolet, Clari; Reiss, Terry; Toh, Woon Young; Wilmer, Michael E., Wafer fabrication data acquisition and management systems.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로