$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Deposition of copper with increased adhesion 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-003/06
  • C23C-016/00
출원번호 US-0030555 (1998-02-25)
발명자 / 주소
  • Zheng Bo
  • Chen Ling
  • Mak Alfred
  • Chang Mei
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson
인용정보 피인용 횟수 : 208  인용 특허 : 16

초록

A method and apparatus for improving the adhesion of a copper layer to an underlying layer on a wafer. The layer of copper is formed over a layer of material on a wafer and the copper layer impacted with ions to improve its adhesion to the underlying layer.

대표청구항

[ What is claimed is:] [1.] A method of processing a substrate comprising the steps of:(a) chemical vapor depositing a seed layer consisting essentially of copper upon said substrate; and(b) after said step (a), treating the copper seed layer through ion bombardment to cause the copper seed layer to

이 특허에 인용된 특허 (16)

  1. Terfloth Christian (Slzgrtel 96 D-5000 Koln 41 DEX) Kruck Thomas (Am Wachberg 9 D-5024 Erftstadt-Bliesheim DEX), Compound for depositing copper layers.
  2. Okamoto Shigeru,JPX, Embedded electroconductive layer and method for formation thereof.
  3. Allen Gregory Lee (Vancouver WA), Implantation of nucleating species for selective metallization and products thereof.
  4. Baum Thomas H. (San Jose CA) Larson Carl E. (San Jose CA) Reynolds Scott K. (Yorktown Heights NY), Ligand stabilized +1 metal beta-diketonate coordination complexes and their use in chemical vapor deposition of metal th.
  5. Gaerttner Martin R. (Dearborn MI) Hoffman David W. (Ann Arbor MI), Metallization process.
  6. Matsuo Mie (Yokohama JPX) Okano Haruo (Tokyo JPX) Hayasaka Nobuo (Yokosuka JPX) Suguro Kyoichi (Yokohama JPX) Miyajima Hideshi (Tokyo JPX) Wada Jun-ichi (Yokohama JPX), Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide.
  7. Grtner Georg F. (Aachen DEX) Janiel Peter A. (Wrselen DEX) Lydtin Hans-Jrgen (Stolberg DEX), Method for the plasma-activated reactive deposition of electrically conductive multicomponent material from a gas phase.
  8. Watkins James J. ; McCarthy Thomas J., Method of chemically depositing material onto a substrate.
  9. Kajita Akihiro,JPX ; Kaneko Hisashi,JPX, Method of manufacturing semiconductor device.
  10. Kamigaito Osami (Aichi JPX) Noda Shoji (Aichi JPX) Doi Haruo (Aichi JPX), Method of producing a composite ceramic body.
  11. Sandhu Gurtej S. (Boise ID), Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor com.
  12. Sandhu Gurtej S., Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds.
  13. Sandhu Gurtej S. (Boise ID), Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precur.
  14. Tobe Ryoki,JPX ; Sasaki Masao,JPX ; Sekiguchi Atsushi,JPX ; Takagi Ken-ichi,JPX, Plasma enhanced CVD apparatus and process, and dry etching apparatus and process.
  15. Hamerich Andreas (Elmshorn DEX) Mueller Joerg (Buchholz DEX) Doellein Guenther (Hanover DEX) Lottermoser Lars (Klein Meckelsen DEX), Process for depositing layers having high specific electrical conductivity.
  16. Norman John A. T. (Encinitas CA) Hochberg Arthur K. (Solana Beach CA) Roberts David A. (Escondido CA), Process for improved quality of CVD copper films.

이 특허를 인용한 특허 (208)

  1. Charneski, Lawrence J.; Nguyen, Tue; Bhandari, Gautam, Adhesion promotion method for CVD copper metallization in IC applications.
  2. Lawrence J. Charneski ; Tue Nguyen ; Gautam Bhandari, Adhesion promotion method for CVD copper metallization in IC applications.
  3. Charneski Lawrence J. ; Nguyen Tuc ; Bhandari Gautam, Adhesion promotion method for electro-chemical copper metallization in IC applications.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  10. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  11. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  12. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  13. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  14. Sakamoto, Hitoshi; Yahata, Naoki; Matsuda, Ryuichi; Ooba, Yoshiyuki; Nishimori, Toshihiko, Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus.
  15. Sakamoto, Hitoshi; Yahata, Naoki; Matsuda, Ryuichi; Ooba, Yoshiyuki; Nishimori, Toshihiko, Barrier metal film production method.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Lubomirsky, Dmitry, Chamber with flow-through source.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  23. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  24. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  25. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  26. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  27. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  28. Farrar, Paul A., Copper metallurgy in integrated circuits.
  29. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  30. Draeger,Nerissa S.; Gray,Gary William, Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles.
  31. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  32. Bo Zheng ; Ling Chen ; Alfred Mak ; Mei Chang, Deposition of copper with increased adhesion.
  33. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  34. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  35. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  36. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  37. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  38. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  39. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  40. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  41. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  42. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  43. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  44. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  45. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  46. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  47. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  48. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  49. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  50. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  51. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  52. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  53. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  54. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  55. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  56. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  57. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  58. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  59. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  60. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  61. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  62. Farrar,Paul A., Hplasma treatment.
  63. Condo, Peter D.; Kirkman, Janet R.; Bright, Clark I.; Stoss, Walter, Infrared reflecting films for solar control and other uses.
  64. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  65. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  66. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  67. Farrar, Paul A., Integrated circuit and seed layers.
  68. Farrar,Paul A., Integrated circuit and seed layers.
  69. Farrar,Paul A., Integrated circuit and seed layers.
  70. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  71. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  72. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  73. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  74. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  75. McLaughlin, Kevin M.; Pharkya, Amit; Reddy, Kapu Sirish, Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing.
  76. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  77. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  78. Cho,Seon Mee; Srinivasan,Easwar; Lu,Brian G.; Mordo,David, Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties.
  79. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  80. Fox, Keith; Srinivasan, Easwar; Mordo, David; Wu, Qingguo, Method for improving mechanical properties of low dielectric constant materials.
  81. Seryogin, Georgiy; Tetreault, Thomas G.; Golovato, Stephen N.; Chandrasekaran, Ramya, Method for increasing adhesion of copper to polymeric surfaces.
  82. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  83. Kelman, Maxim; Shrinivasan, Krishnan; Wang, Feng; Lu, Victor; Chang, Sean; Lu, Guangquan, Method for reducing stress in porous dielectric films.
  84. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  85. Ko, Jungmin, Method of fin patterning.
  86. Bandyopadhyay, Ananda K.; Cho, Seon Mee; Fu, Haiying; Srinivasan, Easwar; Mordo, David, Method to improve mechanical strength of low-K dielectric film using modulated UV exposure.
  87. Bandyopadhyay,Ananda K.; Cho,Seon Mee; Fu,Haiying; Srinivasan,Easwar; Mordo,David, Method to improve mechanical strength of low-k dielectric film using modulated UV exposure.
  88. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  89. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  90. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  91. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  92. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  93. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  94. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  95. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  96. Wu,Qingguo; Niu,Dong; Wang,Honghong; Fu,Haiying, Methods for improving integration performance of low stress CDO films.
  97. Niu,Dong; Fu,Haiying; Lu,Brian; Wang,Feng, Methods for improving the cracking resistance of low-k dielectric materials.
  98. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  99. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  100. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  101. Wu,Qingguo; Fu,Haiying; Smith,David C.; Mordo,David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  102. Wu,Qingguo; Fu,Haiying; Tang,Xingyuan, Methods for producing low-k CDO films.
  103. Wu,Qingguo; Niu,Dong; Fu,Haiying, Methods for producing low-k CDO films with low residual stress.
  104. Wu, Qingguo; Fu, Haiying; Niu, Dong; Bandyopadhyay, Ananda K.; Mordo, David, Methods for producing low-k carbon doped oxide films with low residual stress.
  105. Fox, Keith; Mars, Carole; Kirkpatrick, Willis; Srinivasan, Easwar, Methods for producing low-stress carbon-doped oxide films with improved integration properties.
  106. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  107. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  108. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  109. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  110. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  111. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  112. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  113. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  114. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  115. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  116. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  117. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  118. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  119. Wang,Feng; Schulberg,Michelle T.; Sun,Jianing; Humayun,Raashina; Van Cleemput,Patrick A., Plasma detemplating and silanol capping of porous dielectric films.
  120. Kim, Yunsang; Bailey, III, Andrew D.; Yoon, Hyungsuk Alexander; Howald, Arthur M., Plasma oxidation and removal of oxidized material.
  121. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  122. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  123. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  124. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  125. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  126. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  127. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  128. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  129. Paul A. Farrar, Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy.
  130. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  133. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  134. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  135. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  136. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  137. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  138. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  139. Subramonium,Pramod; Fang,Zhiyuan; Henri,Jon, Pulsed PECVD method for modulating hydrogen content in hard mask.
  140. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  141. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  142. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  143. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  144. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  145. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  146. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  147. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  148. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  149. Weidman,Timothy W., Ruthenium containing layer deposition method.
  150. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  151. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  152. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  153. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  154. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  155. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  156. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  157. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  158. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  159. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  160. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  161. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  162. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  163. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  164. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  165. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  166. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  167. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  168. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  169. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  170. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  171. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  172. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  173. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  174. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  175. Son, Ho Young, Semiconductor package having a contamination preventing layer formed in the semiconductor chip.
  176. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  177. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  178. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  179. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  180. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  181. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  182. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  183. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  184. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  185. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  186. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  187. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  188. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  189. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  190. Farrar, Paul A., Structures and methods to enhance copper metallization.
  191. Farrar, Paul A., Structures and methods to enhance copper metallization.
  192. Farrar, Paul A., Structures and methods to enhance copper metallization.
  193. Farrar,Paul A., Structures and methods to enhance copper metallization.
  194. Farrar,Paul A., Structures and methods to enhance copper metallization.
  195. Paul A. Farrar, Structures and methods to enhance copper metallization.
  196. Viel, Pascal; Ameur, Sami; Bureau, Christophe, Surface-coating method.
  197. Varadarajan, Bhadri; Chang, Sean; Sims, James S.; Lu, Guangquan; Mordo, David; Ilcisin, Kevin; Pandit, Mandar; Carris, Michael, Tensile dielectric films using UV curing.
  198. Pan, Wei; Evans, David R.; Hsu, Sheng Teng, Thermal densification in the early stages of copper MOCVD for depositing high quality Cu films with good adhesion and trench filling characteristics.
  199. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  200. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  201. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  202. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  203. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  204. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  205. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary, VLSI fabrication processes for introducing pores into dielectric materials.
  206. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  207. van den Hoek,Willibrordus Gerardus Maria; Draeger,Nerissa S.; Humayun,Raashina; Hill,Richard S.; Sun,Jianing; Ray,Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  208. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로