$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C25D-005/02
  • H01L-021/288
  • H01L-021/445
출원번호 US-0045245 (1998-03-20)
발명자 / 주소
  • Chen LinLin
출원인 / 주소
  • Semitool, Inc.
대리인 / 주소
    Christensen O'Connor Johnson Kindness PLLC
인용정보 피인용 횟수 : 339  인용 특허 : 8

초록

A process for applying a metallization interconnect structure to a semiconductor workpiece having a barrier layer deposited on a surface thereof is set forth. The process includes the forming of an ultra-thin metal seed layer on the barrier layer. The ultra-thin seed layer having a thickness of less

대표청구항

[What is claimed is:] [1.](a) forming an ultra-thin metal seed layer exterior to the barrier layer using a first deposition process, the seed layer having a thickness of less than or equal to about 500 Angstroms;(b) repairing the ultra-thin seed layer by depositing an additional metal using a second

이 특허에 인용된 특허 (8)

  1. Herr Roy W. (Troy MI), Electrolyte and method for electrodepositing bright metal deposits.
  2. Farooq Mukta S. (Hopewell Junction NY) Kaja Suryanarayana (Hopewell Junction NY) Perfecto Eric D. (Poughkeepsie NY) White George E. (Hoffman Estates IL), Method for forming capped copper electrical interconnects.
  3. Dubin Valery ; Nogami Takeshi, Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate.
  4. Weaver Charles A. (Indianapolis IN), Method of electroplating a conductive layer over an electrolytic capacitor.
  5. Matsunami Takashi,JPX ; Ikeda Masahiko,JPX ; Oka Hiroyuki,JPX, Method of electroplating non-conductive materials.
  6. Reynolds H. Vincent, Plating cell with horizontal product load mechanism.
  7. Makkaev Almaxud M. (ulitsa Zolotodolinskaya ; 29 ; kv. 308 Novosibirsk SUX) Lomovsky Oleg I. (ulitsa Ostrovskogo ; 101a ; kv. 22 Berdsk Novosibirskoi oblasti SUX) Mikhailov Jury I. (ulitsa Maltseva ;, Process for electrochemical metallization of dielectrics.
  8. Gilton Terry L. (Boise ID) Tuttle Mark E. (Boise ID) Cathey David A (Boise ID), Process for metallizing integrated circuits with electrolytically-deposited copper.

이 특허를 인용한 특허 (339)

  1. Cohen, Uri, Advanced seed layers for interconnects.
  2. Cohen,Uri, Advanced seed layery for metallic interconnects.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Zhu, Mei, Alkaline copper plating.
  7. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  9. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  10. Shalyt, Eugene; Ososkov, Victor; Pavlov, Michael; Bratin, Peter, Analysis of copper ion and complexing agent in copper plating baths.
  11. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  12. Herchen, Harald, Anode isolation by diffusion differentials.
  13. Yang, Michael X.; Kovarsky, Nicolay Y., Anolyte for copper plating.
  14. Yang,Michael X.; Kovarsky,Nicolay Y., Anolyte for copper plating.
  15. Thomas L. Ritzdorf ; Steve L. Eudy ; Gregory J. Wilson ; Paul R. McHugh, Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology.
  16. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  17. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  18. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  19. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  20. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  21. Ritzdorf, Thomas L.; Eudy, Steve L.; Wilson, Gregory J.; McHugh, Paul R., Apparatus and method for processing a microelectronic workpiece using metrology.
  22. Ritzdorf,Thomas L.; Eudy,Steve L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and method for processing a microelectronic workpiece using metrology.
  23. Hanson,Kyle M., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  24. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  25. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  26. Cohen, Uri, Apparatus for depositing seed layers.
  27. Emesh,Ismail; Chadda,Saket, Apparatus for electrochemically depositing a material onto a workpiece surface.
  28. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  29. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  30. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  31. Cohen, Uri, Apparatus for making interconnect seed layers and products.
  32. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  33. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  34. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  35. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  36. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  37. Reid, Jonathan D.; Webb, Eric G.; Minshall, Edmund B.; Kepten, Avishai; Stowell, R. Marshall; Mayer, Steven T., Capping before barrier-removal IC fabrication method.
  38. Lubomirsky, Dmitry, Chamber with flow-through source.
  39. Lubomirsky, Dmitry, Chamber with flow-through source.
  40. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  41. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  42. Lopatin, Sergey, Chemical solution for electroplating a copper-zinc alloy thin film.
  43. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  44. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  45. Cohen, Uri, Combined conformal/non-conformal seed layers for metallic interconnects.
  46. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  47. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  48. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  49. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  50. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  51. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  52. He, Zhian; Ramesh, Ashwin; Ghongadi, Shantinath, Control of current density in an electroplating apparatus.
  53. Verbunt, Han, Copper activator solution and method for semiconductor seed layer enhancement.
  54. Ponnuswamy, Thomas A.; Sukamto, John H.; Reid, Jonathan D.; Mayer, Steven T.; Zhu, Huanfeng, Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers.
  55. Ponnuswamy, Thomas A.; Sukamto, John H.; Reid, Jonathan D.; Mayer, Steven T., Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers.
  56. Diane J. Hymes, Copper interconnect seed layer treatment methods and apparatuses for treating the same.
  57. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  58. Nagai, Mizuki; Okuyama, Shuichi; Kimizuka, Ryoichi; Kobayashi, Takeshi, Copper-plating liquid, plating method and plating apparatus.
  59. Spurlin, Tighe A.; Zhou, Jian; Opocensky, Edward C.; Reid, Jonathan; Mayer, Steven T., Current ramping and current pulsing entry of substrates for electroplating.
  60. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  61. Webb, Eric; Reid, Jon; Takada, Yuichi; Archer, Timothy, Deposit morphology of electroplated copper.
  62. Webb, Eric; Reid, Jonathan D.; Takada, Yuichi; Archer, Timothy, Deposit morphology of electroplated copper.
  63. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  64. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  65. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  66. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  67. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  68. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  69. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  70. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  71. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  72. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  73. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  74. Landau,Uziel, Electro-chemical deposition system and method of electroplating on substrates.
  75. Yang,Michael X.; Lubomirsky,Dmitry; Dordi,Yezdi; Singh,Saravjeet; Tulshibagwale,Sheshraj; Kovarsky,Nicolay, Electrochemical processing cell.
  76. Zahraoui, Saïd; Raynal, Frédéric, Electrodeposition composition and method for coating a semiconductor substrate using the said composition.
  77. Taylor, E. Jennings; Sun, Jenny J., Electrodeposition of metals in high-aspect ratio cavities using modulated reverse electric fields.
  78. Minshall, Edmund B.; Biggs, Kevin; Stowell, R. Marshall; Fetters, Wayne, Electroless copper deposition apparatus.
  79. Andryuschenko, Tatyana N.; Reid, Jonathan D.; Mayer, Steven T.; Webb, Eric G., Electroless copper deposition method for preparing copper seed layers.
  80. Varadarajan,Seshasayee; Zhou,Jian, Electroless copper fill process.
  81. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  82. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  83. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  84. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  85. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  86. Park, Heung L.; Webb, Eric G.; Reid, Jonathan D.; Cleary, Timothy Patrick, Electroless layer plating process and apparatus.
  87. Baskaran, Rajesh; Batz, Jr., Robert W.; Kim, Bioh; Ritzdorf, Thomas L.; Klocke, John Lee; Hanson, Kyle M., Electrolytic copper process using anion permeable barrier.
  88. Baskaran, Rajesh; Batz, Jr., Robert W; Kim, Bioh; Ritzdorf, Tom L; Klocke, John L; Hanson, Kyle M, Electrolytic copper process using anion permeable barrier.
  89. Baskaran, Rajesh; Batz, Jr., Robert W.; Kim, Bioh; Ritzdorf, Tom L.; Klocke, John Lee; Hanson, Kyle M., Electrolytic process using anion permeable barrier.
  90. Baskaran, Rajesh; Batz, Jr., Robert W.; Kim, Bioh; Ritzdorf, Tom L.; Klocke, John L.; Hanson, Kyle M., Electrolytic process using cation permeable barrier.
  91. Baskaran, Rajesh; Batz, Jr., Robert W.; Kim, Bioh; Ritzdorf, Tom L.; Klocke, John L.; Hanson, Kyle M., Electrolytic process using cation permeable barrier.
  92. Uzoh, Cyprian E.; Boettcher, Steven H.; DeHaven, Patrick W.; Parks, Christopher C.; Simon, Andrew H., Electromigration-resistant copper microstructure.
  93. Matsuda, Tetsuo; Toyoda, Hiroshi; Kaneko, Hisashi, Electronic device manufacturing method.
  94. Matsuda,Tetsuo; Toyoda,Hiroshi; Kaneko,Hisashi, Electronic device manufacturing method.
  95. Feng, Jingbin; He, Zhian; Rash, Robert; Mayer, Steven T., Electroplating apparatus with vented electrolyte manifold.
  96. Lopatin, Sergey; Eaglesham, David; Gay, Charles, Electroplating on roll-to-roll flexible solar cell substrates.
  97. Reid, Jonathan D.; Smith, David; Mayer, Steven T.; Henri, Jon; Varadarajan, Sesha, Electroplating process for avoiding defects in metal features of integrated circuit devices.
  98. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  99. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  100. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  101. Koos, Daniel A.; Mayer, Steven T.; Park, Heung L.; Cleary, Timothy Patrick; Mountsier, Thomas, Fabrication of semiconductor interconnect structure.
  102. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  103. Mayer, Steven T.; Koos, Daniel A.; Webb, Eric, Fabrication of semiconductor interconnect structure.
  104. Luo, Yuefeng, Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition.
  105. Luo, Yuefeng, Fabrication of topical stopper on head gasket by active matrix electrochemical deposition.
  106. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  107. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  108. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  109. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  110. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  111. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  112. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  113. Lopatin, Sergey; Kovarsky, Nicolay Y.; Eaglesham, David; Dukovic, John O.; Gay, Charles, High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate.
  114. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  115. Kovarsky,Nicolay Y.; Lubomirsky,Dmitry; Rabinovich,Yevgeniy (Eugene), Insoluble anode with an auxiliary electrode.
  116. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  117. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  118. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  119. Farrar, Paul A., Integrated circuit and seed layers.
  120. Farrar,Paul A., Integrated circuit and seed layers.
  121. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks having initially identical dies personalized with fuses and methods of manufacturing the same.
  122. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks having initially identical dies personalized with fuses and methods of manufacturing the same.
  123. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks having initially identical dies personalized with switches.
  124. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks having initially identical dies personalized with switches and methods of making the same.
  125. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks with rotationally symmetric vias.
  126. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks with translationally compatible vias.
  127. Foster, Sr., Jimmy G.; Kim, Kyu-Hyoun, Integrated circuit die stacks with translationally compatible vias.
  128. Bandholz, Justin P.; Patel, Pravin; Seidel, Peter R., Integrated circuit with inductive bond wires.
  129. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  130. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  131. Bandholz, Justin P.; Hinkle, Jonathan R.; Patel, Pravin, Integrating capacitors into vias of printed circuit boards.
  132. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  133. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  134. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  135. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  136. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  137. Ferrell,Gary W., Metal deposit process.
  138. Cohen, Uri, Metallic interconnects products.
  139. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  140. Ahila Krishnamoorthy ; David J. Duquette ; Shyam P. Murarka, Metallization structures for microelectronic applications and process for forming the structures.
  141. Opocensky, Edward C.; Spurlin, Tighe A.; Reid, Jonathan D., Method and apparatus for characterizing metal oxide reduction.
  142. Reid, Jonathan D.; Zhu, Huanfeng, Method and apparatus for filling interconnect structures.
  143. Reid, Jonathan D.; Zhu, Huanfeng, Method and apparatus for filling interconnect structures.
  144. Spurlin, Tighe A.; Antonelli, George Andrew; Doubina, Natalia; Duncan, James E.; Reid, Jonathan D.; Porter, David, Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer.
  145. Hey, Peter; Kwak, Byung-Sung Leo, Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio.
  146. Dordi, Yezdi N.; Stevens, Joseph J.; Sugarman, Michael N., Method and associated apparatus for tilting a substrate upon entry for metal deposition.
  147. Bresler,Joel; Raffel,Jack, Method and system for locating position in printed texts and delivering multimedia information.
  148. Baskaran,Rajesh; Kim,Bioh; Chen,Linlin; Graham,Lyndon W, Method for applying metal features onto barrier layers using electrochemical deposition.
  149. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  150. Uzoh, Cyprian E.; Deligianni, Hariklia; Dukovic, John O., Method for enhancing the uniformity of electrodeposition or electroetching.
  151. Oladeji, Isaiah O.; Cuthbertson, Alan, Method for fabricating conducting plates for a high-Q MIM capacitor.
  152. Oladeji, Isaiah O.; Cuthbertson, Alan, Method for fabricating conducting plates for a high-Q MIM capacitor.
  153. Koos,Daniel A.; Mayer,Steven T.; Park,Heung L.; Cleary,Timothy Patrick; Mountsier,Thomas, Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage.
  154. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  155. Christy Mei-Chu Woo ; Bhanwar Singh ; Bharath Rangarajan, Method for improving seed layer electroplating for semiconductor.
  156. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  157. Kim, Jin Su; Yoo, Kyoung Jong; Lee, Young-Jae; Lee, Jun, Method for manufacturing a wire grid polarizer.
  158. Shim,Dong sik; Na,Kyung won; Choi,Sang on; Park,Hae seok, Method for manufacturing metal structure using trench.
  159. Hongo, Akihisa; Nagai, Mizuki; Ohno, Kanji; Kimizuka, Ryoichi; Maruyama, Megumi, Method for plating a first layer on a substrate and a second layer on the first layer.
  160. Zheng, Bo; Bajaj, Rajeev; Wang, Zhonghui Alex, Method for regulating the electrical power applied to a substrate during an immersion process.
  161. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  162. Zheng, Bo; Wang, Hougong; Dixit, Girish; Chen, Fusen, Method of application of electrical biasing to enhance metal deposition.
  163. Lopatin, Sergey; Nickel, Alexander H.; Bernard, Joffre F., Method of controlling zinc-doping in a copper-zinc alloy thin film electroplated on a copper surface and a semiconductor device thereby formed.
  164. Lopatin, Sergey; Nickel, Alexander H., Method of electroplating a copper-zinc alloy thin film on a copper surface using a chemical solution.
  165. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Method of electroplating a substance over a semiconductor substrate.
  166. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Method of electroplating a substance over a semiconductor substrate.
  167. Ko, Jungmin, Method of fin patterning.
  168. Chopra,Dinesh, Method of forming a barrier seed layer with graded nitrogen composition.
  169. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Method of forming a mass over a semiconductor substrate.
  170. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Method of forming a metal-containing layer over selected regions of a semiconductor substrate.
  171. Cheung, Robin; Chen, Liang-Yuh, Method of forming copper interconnects.
  172. Zhao, Bin; Brongo, Maureen R., Method of forming dual-damascene interconnect structures employing low-k dielectric materials.
  173. Heon Do Kim KR, Method of manufacturing copper wiring in a semiconductor device.
  174. Lopatin, Sergey; Nickel, Alexander H.; King, Paul L., Method of reducing electromigration by forming an electroplated copper-zinc interconnect and a semiconductor device thereby formed.
  175. Lopatin, Sergey; King, Paul L.; Bernard, Joffre F., Method of reducing electromigration by ordering zinc-doping in an electroplated copper-zinc interconnect and a semiconductor device thereby formed.
  176. Lopatin, Sergey; Nickel, Alexander H., Method of reducing electromigration in a copper line by Zinc-Doping of a copper surface from an electroplated copper-zinc alloy thin film and a semiconductor device thereby formed.
  177. Lopatin, Sergey; Nickel, Alexander H., Method of reducing electromigration in a copper line by electroplating an interim copper-zinc alloy thin film on a copper surface and a semiconductor device thereby formed.
  178. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  179. Lee, Jin-Yuan; Chen, Ying-Chih; Lin, Mou-Shiung, Method of wire bonding over active area of a semiconductor circuit.
  180. Lee, Jin-Yuan; Chen, Ying-Chih; Lin, Mou-Shiung, Method of wire bonding over active area of a semiconductor circuit.
  181. Lee, Jin-Yuan; Chen, Ying-Chih; Lin, Mou-Shiung, Method of wire bonding over active area of a semiconductor circuit.
  182. Lee, Jin-Yuan; Chen, Ying-Chih; Lin, Mou-Shiung, Method of wire bonding over active area of a semiconductor circuit.
  183. Lee, Jin-Yuan; Chen, Ying-Chih; Lin, Mou-Shiung, Method of wire bonding over active area of a semiconductor circuit.
  184. Lee, Jin-Yuan; Chen, Ying-chih, Method of wire bonding over active area of a semiconductor circuit.
  185. Hafezi, Hooman; Rosenfeld, Aron; Yang, Michael X., Method to deposit organic grafted film on barrier layer.
  186. Mayer, Steven T.; Alexy, John B.; Feng, Jingbin, Methods and apparatus for airflow and heat management in electroless plating.
  187. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  188. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  189. Ritzdorf,Thomas L.; Eudy,Steve L.; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Aegerter,Brian; Dundas,Curt; Peace,Steven L., Methods and apparatus for processing microelectronic workpieces using metrology.
  190. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  191. Cohen,Adam L.; Smalley,Dennis R., Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials.
  192. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  193. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  194. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  195. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  196. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  197. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  198. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  199. Cohen, Uri, Methods for making multiple seed layers for metallic interconnects.
  200. Spurlin, Tighe A.; Lambert, Darcy E.; Singhal, Durgalakshmi; Antonelli, George Andrew, Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment.
  201. Smalley, Dennis R., Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids.
  202. Smalley, Dennis R., Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids.
  203. Smalley, Dennis R., Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids.
  204. Smalley,Dennis R., Methods of and apparatus for electrochemically fabricating structures via interlaced layers or via selective etching and filling of voids.
  205. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Methods of electrochemically treating semiconductor substrates.
  206. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Methods of electrochemically treating semiconductor substrates.
  207. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Methods of forming capacitor constructions.
  208. Collins,Dale W.; Lane,Richard H.; Klein,Rita J., Methods of forming capacitor constructions.
  209. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  210. Oliver,Steven D.; Velicky,Lu; Hiatt,William Mark; Hembree,David R.; Tuttle,Mark E.; Rigg,Sidney B.; Wark,James M.; Farnworth,Warren M.; Kirby,Kyle K., Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level.
  211. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  212. Farnworth, Warren M.; Lake, Rick C.; Hiatt, William M., Microfeature workpieces having alloyed conductive structures, and associated methods.
  213. Farnworth, Warren M.; Lake, Rick C.; Hiatt, William M., Microfeature workpieces having alloyed conductive structures, and associated methods.
  214. Farnworth, Warren M.; Lake, Rickie C.; Hiatt, William M., Microfeature workpieces having alloyed conductive structures, and associated methods.
  215. Borthakur, Swarnal, Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods.
  216. Mayer, Steven T.; Porter, David W., Modulated metal removal using localized wet etching.
  217. Yang,Michael X.; Xi,Ming; Ellwanger,Russell C.; Britcher,Eric B.; Donoso,Bernardo; Pang,Lily L.; Sherman,Svetlana; Ho,Henry; Nguyen,Anh N.; Lerner,Alexander N.; D'Ambra,Allen L.; Shanmugasundram,Arul, Multi-chemistry plating system.
  218. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  219. Cohen,Uri, Multiple seed layers for interconnects.
  220. Cohen, Uri, Multiple seed layers for metallic interconnects.
  221. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  222. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  223. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  224. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  225. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  226. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  227. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  228. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  229. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  230. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  231. Nagai, Mizuki; Saito, Nobutoshi; Kuriyama, Fumio; Fukunaga, Akira, Plating apparatus and plating method.
  232. Chen,Linlin; Lu,Jiong Ping; Xia,Changfeng, Plating-rinse-plating process for fabricating copper interconnects.
  233. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  234. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  235. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  236. Hoeglauer, Josef; Otremba, Ralf; Schloegel, Xaver, Power semiconductor component, power semiconductor device as well as methods for their production.
  237. Buckalew, Bryan L.; Rea, Mark L., Pretreatment method for photoresist wafer processing.
  238. Buckalew, Bryan L.; Rea, Mark L., Pretreatment method for photoresist wafer processing.
  239. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  240. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  241. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  242. Mayer, Steven T.; Bhaskaran, Vijay; Patton, Evan E.; Jackson, Robert L.; Reid, Jonathan, Process for electroplating metal into microscopic recessed features.
  243. Mayer, Steven T.; Bhaskaran, Vijay; Patton, Evan E.; Jackson, Robert L.; Reid, Jonathan, Process for electroplating metals into microscopic recessed features.
  244. Michal Edith Gross, Process for semiconductor device fabrication having copper interconnects.
  245. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  246. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  247. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  248. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  249. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  250. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  251. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  252. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  253. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  254. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  255. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  256. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  257. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  258. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  259. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  260. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  261. Mayer, Steven T.; Porter, David W., Reduced isotropic etchant material consumption and waste generation.
  262. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  263. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  264. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  265. Weidman,Timothy W., Ruthenium containing layer deposition method.
  266. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  267. Cohen, Uri, Seed layers for interconnects and methods and apparatus for their fabrication.
  268. Cohen, Uri, Seed layers for metallic interconnects.
  269. Cohen,Uri, Seed layers for metallic interconnects.
  270. Cohen, Uri, Seed layers for metallic interconnects and products.
  271. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  272. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  273. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  274. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  275. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  276. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  277. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  278. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  279. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  280. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  281. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  282. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  283. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  284. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  285. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  286. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  287. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  288. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  289. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  290. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  291. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  292. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  293. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  294. Lopatin, Sergey; Nickel, Alexander H., Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper.
  295. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  296. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  297. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  298. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  299. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  300. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  301. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  302. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  303. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  304. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  305. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  306. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  307. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  308. D'Ambra,Allen L.; Shanmugasundram,Arulkumar; Yang,Michael X.; Rabinovich,Yevgeniy (Eugene); Lubomirsky,Dmitry, Slim cell platform plumbing.
  309. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  310. Farrar, Paul A., Structures and methods to enhance copper metallization.
  311. Farrar, Paul A., Structures and methods to enhance copper metallization.
  312. Farrar,Paul A., Structures and methods to enhance copper metallization.
  313. Farrar,Paul A., Structures and methods to enhance copper metallization.
  314. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  315. Hongo, Akihisa; Nagai, Mizuki; Ohno, Kanji; Kimizuka, Ryoichi; Maruyama, Megumi, Substrate plating method and apparatus.
  316. Wang,You; Chang,Anzhong; Dukovic,John O., Substrate support element for an electrochemical plating cell.
  317. Wilson, Gregory J.; McHugh, Paul R.; Hanson, Kyle M., System for electrochemically processing a workpiece.
  318. Tran,Minh Q., Thermal annealing for Cu seed layer enhancement.
  319. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  320. Cases, Moises; Kim, Tae Hong; Mandrekar, Rohan U.; Sherali, Nusrat I., Through hole-vias in multi-layer printed circuit boards.
  321. Cases, Moises; Kim, Tae Hong; Mandrekar, Rohan U.; Sherali, Nusrat I., Through-hole-vias in multi-layer printed circuit boards.
  322. Cases, Moises; Kim, Tae Hong; Mandrekar, Rohan U.; Sherali, Nusrat I., Through-hole-vias in multi-layer printed circuit boards.
  323. Cases, Moises; Kim, Tae Hong; Mandrekar, Rohan U.; Sherali, Nusrat I., Through-hole-vias in multi-layer printed circuit boards.
  324. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  325. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  326. Mayer, Steven T.; Rea, Mark L.; Hill, Richard S.; Kepten, Avishai; Stowell, R. Marshall; Webb, Eric G., Topography reduction and control by selective accelerator removal.
  327. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  328. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  329. Reid, Jonathan; Park, Seyang; Varadarajan, Seshasayee; Doubina, Natalia, Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers.
  330. Klein,Martin P.; Keigler,Arthur; Felsenthal,David, Ultra-thin wafer handling system.
  331. Woo, Christy Mei-Chu; Wang, Connie Pin-Chin; Avanzino, Steve C., Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability.
  332. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  333. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  334. Mayer, Steven T.; Webb, Eric G.; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  335. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  336. Mayer, Steven T.; Webb, Eric; Porter, David W., Wet etching methods for copper removal and planarization in semiconductor processing.
  337. Ranjan, Manish; Ghongadi, Shantinath; Wilmot, Frederick Dean; Hill, Douglas; Buckalew, Bryan L., Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath.
  338. Ranjan, Manish; Ghongadi, Shantinath; Wilmot, Frederick Dean; Hill, Douglas; Buckalew, Bryan L., Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath.
  339. Wilson,Gregory J.; McHugh,Paul R.; Hanson,Kyle M., Workpiece processor having processing chamber with improved processing fluid flow.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로