$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Interconnect structure in a semiconductor device and method of formation 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/44
  • H01L-021/302
  • H01L-021/476
출원번호 US-0022933 (1998-02-12)
발명자 / 주소
  • Simpson Cindy Reidsema
출원인 / 주소
  • Motorola Inc.
인용정보 피인용 횟수 : 275  인용 특허 : 11

초록

In one embodiment, a conductive interconnect (38) is formed in a semiconductor device by depositing a dielectric layer (28) on a semiconductor substrate (10). The dielectric layer (28) is then patterned to form an interconnect opening (29). A tantalum nitride barrier layer (30) is then formed within

대표청구항

[What is claimed is:] [1.]providing a semiconductor substrate;forming a tungsten plug overlying the semiconductor substrate;forming a dielectric layer overlying the tungsten plug;removing a portion of the dielectric layer to expose at least a portion of the tungsten plug within an opening;forming a

이 특허에 인용된 특허 (11)

  1. Ting Chiu H. (Saratoga CA) Paunovic Milan (Port Washington NY), Electroless deposition for IC fabrication.
  2. Schnur Joel M. (6009 Lincolnwood Ct. Burke VA 22015) Schoen Paul E. (5006 Taney Ave. Alexandria VA 22304) Peckerar Martin C. (12917 Buccaneer Rd. Silver Spring MD 20904) Marrian Christie R. K. (6805 , High resolution patterning on solid substrates.
  3. Filipiak Stanley M. (Pflugerville TX) Gelatos Avgerinos (Austin TX), Method for capping copper in semiconductor devices.
  4. Dubin Valery ; Ting Chiu, Method for fabricating copper-aluminum metallization.
  5. Dubin Valery M., Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure.
  6. Bhatt Anilkumar Chinuprasad ; Miller Thomas Richard ; Moring Allen Frederick ; Walsh James Paul, Method of making a circuitized substrate for chip carrier structure.
  7. Chang Kenneth (Hopewell Junction NY) Czornyj George (Poughkeepsie NY) Farooq Mukta S. (Hopewell Junction NY) Kumar Ananda H. (Hopewell Junction NY) Pitler Marvin S. (late of Poughkeepsie NY by Peter , Method of making a multilayer thin film structure.
  8. Ting Chiu ; Dubin Valery, Plated copper interconnect structure.
  9. Schacham-Diamand Yosef ; Dubin Valery M. ; Ting Chiu H. ; Zhao Bin ; Vasudev Prahalad K. ; Desilva Melvin, Protected encapsulation of catalytic layer for electroless copper interconnect.
  10. Zhao Bin (Austin TX) Vasudev Prahalad K. (Austin TX) Dubin Valery M. (Cupertino CA) Shacham-Diamand Yosef (Ithaca NY) Ting Chiu H. (Saratoga CA), Selective electroless copper deposited interconnect plugs for ULSI applications.
  11. De Bruin Leendert (Eindhoven NLX) Verhaar Robertus D. J. (Eindhoven NLX) Van Laarhoven Josephus M. F. G. (Eindhoven NLX), Selectively plating conductive pillars in manufacturing a semiconductor device.

이 특허를 인용한 특허 (275)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  9. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  10. Chen, LinLin, Apparatus and method for electrolytically depositing a metal on a workpiece.
  11. Chen, Linlin, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  12. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  13. Chen, Linlin; Taylor, Thomas, Apparatus and method for electrolytically depositing copper on a semiconductor workpiece.
  14. Chen, LinLin, Apparatus and method for electrolytically depositing copper on a workpiece.
  15. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  16. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  17. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  18. Lin,Jing Cheng; Peng,Chao Hsien; Shue,Shau Lin; Liang,Mong Song, Atomic layer deposition tantalum nitride layer to improve adhesion between a copper structure and overlying materials.
  19. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  20. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  21. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Boron-doped SIC copper diffusion barrier films.
  22. Lubomirsky, Dmitry, Chamber with flow-through source.
  23. Lubomirsky, Dmitry, Chamber with flow-through source.
  24. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  25. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  26. Leobandung, Effendi, Chip with programmable shelf life.
  27. Leobandung, Effendi, Chip with programmable shelf life.
  28. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  29. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  30. Bu, Xiaomei; See, Alex; Zhang, Fan; Hui, Jane; Lee, Tae Jong; Hsia, Liang Choo, Combined copper plating method to improve gap fill.
  31. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  32. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  33. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  34. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  35. Gandikota, Srinivas; Tao, Rong; Chen, Liang-Yuh; Ramaswami, Seshadri, Continuous, non-agglomerated adhesion of a seed layer to a barrier layer.
  36. Chang, Chung-Liang; Shue, Shaulin, Copper electromigration inhibition by copper alloy formation.
  37. Farrar, Paul A., Copper metallurgy in integrated circuits.
  38. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  39. Naem, Abdalla Aly, Copper-compatible fuse target.
  40. Naem, Abdalla Aly; Razouk, Reda, Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure.
  41. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  42. Varadarajan, Bhadri N.; Gong, Bo; Yuan, Guangbi; Gui, Zhe; Lai, Fengyuan, Densification of silicon carbide film using remote plasma treatment.
  43. Chen,Ling; Chang,Mei, Deposition processes for tungsten-containing barrier layers.
  44. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  45. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  46. Yu, Yongsik; Subramonium, Pramod; Fang, Zhiyuan; Henri, Jon; Apen, Elizabeth; Vitkavage, Dan, Diffusion barrier and etch stop films.
  47. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  48. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  49. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  50. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  51. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  52. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  53. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  54. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  55. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  56. Stevens,Joseph J.; Lubomirsky,Dmitry; Pancham,Ian; Olgado,Donald J. K.; Grunes,Howard E.; Mok,Yeuk Fai Edwin, Electroless deposition apparatus.
  57. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  58. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  59. Gandikota, Srinivas; McGuirk, Chris R.; Padhi, Deenesh; Malik, Muhammad Atif; Ramanathan, Sivakami; Dixit, Girish A.; Cheung, Robin, Electroless deposition method over sub-micron apertures.
  60. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  61. Farrar,Paul A., Electronic apparatus having a core conductive structure within an insulating layer.
  62. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  63. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  64. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  65. Yu, Yongsik; Billington, Karen; Hepburn, Robert; Carris, Michael; Crew, William, Film for copper diffusion barrier.
  66. Yu,Yongsik; Billington,Karen; Hepburn,Robert; Carris,Michael; Crew,William, Film for copper diffusion barrier.
  67. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  68. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  69. Doczy,Mark; Wong,Lawrence D.; Dubin,Valery M.; Brask,Justin K.; Kavalieros,Jack; Datta,Suman; Metz,Matthew V.; Chau,Robert S., Forming dual metal complementary metal oxide semiconductor integrated circuits.
  70. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  71. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  72. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  73. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  74. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  75. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; van Schravendijk, Bart, Hardmask materials.
  76. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  77. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  78. Farrar,Paul A., Hplasma treatment.
  79. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  80. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  81. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  82. Gurumurthy, Charan; Salama, Islam; Jomaa, Houssam; Tanikella, Ravi, Integrated circuit and process for fabricating thereof.
  83. Farrar, Paul A., Integrated circuit and seed layers.
  84. Farrar,Paul A., Integrated circuit and seed layers.
  85. Farrar,Paul A., Integrated circuit and seed layers.
  86. Engelhardt, Manfred, Integrated circuit configuration using spacers as a diffusion barrier and method of producing such an integrated circuit configuration.
  87. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  88. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  89. Burke,Peter A.; Barth,William K., Inter-layer interconnection structure for large electrical connections.
  90. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Interconnect structures and a method of electroless introduction of interconnect structures.
  91. Dubin, Valery M.; Cheng, Chin-Chang; Hussein, Makarem; Nguyen, Phi L.; Brain, Ruth A., Interconnect structures containing conductive electrolessly deposited etch stop layers, liner layers, and via plugs.
  92. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  93. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  94. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  95. Tsu,Robert; McPherson,Joe W.; McKee,William R.; Bonifield,Thomas, Line-to-line reliability enhancement using a dielectric liner for a low dielectric constant interlevel and intralevel (or intermetal and intrametal) dielectric layer.
  96. Lee, Wei Ti; Guo, Ted; Yao, Gongda, Liner materials.
  97. Kim, Jae-Jeong; Kim, Soo-Kil; Kim, Yong-Shik, Low resistivity copper conductor line, liquid crystal display device having the same and method for forming the same.
  98. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  99. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-K SiC copper diffusion barrier films.
  100. Yu,Yongsik; Gupta,Atul; Billington,Karen; Carris,Michael; Crew,William; Mountsier,Thomas W., Low-k B-doped SiC copper diffusion barrier films.
  101. Yu, Yongsik; Billington, Karen; Tang, Xingyuan; Fu, Haiying; Carris, Michael; Crew, William, Low-k SiC copper diffusion barrier films.
  102. Yu,Yongsik; Billington,Karen; Tang,Xingyuan; Fu,Haiying; Carris,Michael; Crew,William, Low-k SiC copper diffusion barrier films.
  103. Yu, Yongsik; Gupta, Atul; Billington, Karen; Carris, Michael; Crew, William; Mountsier, Thomas W., Low-k b-doped SiC copper diffusion barrier films.
  104. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  105. Imori,Toru; Kumagai,Masashi; Sekiguchi,Junnosuke, Metal plating method, pretreatment agent, and semiconductor wafer and semiconductor device obtained using these.
  106. Lee, Hyae-Ryoung, Metal-insulator-metal (MIM) capacitors.
  107. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  108. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  109. Xiao, De Yuan; Chen, Guo Qing, Method and system for forming conductive bumping with copper interconnection.
  110. Chen, Linlin; Wilson, Gregory J.; McHugh, Paul R.; Weaver, Robert A.; Ritzdorf, Thomas L., Method for electrochemically depositing metal on a semiconductor workpiece.
  111. Kim, Jae Hong, Method for fabricating semiconductor device.
  112. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  113. Shih, Tsu; Jang, Sung-Ming, Method for forming metal filled semiconductor features to improve a subsequent metal CMP process.
  114. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance.
  115. Cunningham, James A., Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby.
  116. Ahn,Kie Y.; Forbes,Leonard, Method for making integrated circuits.
  117. Nobuhisa Kumamoto JP, Method for manufacturing semiconductor device.
  118. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  119. Lopatin Sergey, Method of electroless ag layer formation for cu interconnects.
  120. Dubin, Valery M.; Thomas, Christopher D.; McGregor, Paul; Datta, Madhav, Method of electroless introduction of interconnect structures.
  121. Ko, Jungmin, Method of fin patterning.
  122. Chopra,Dinesh, Method of forming a barrier seed layer with graded nitrogen composition.
  123. Naem, Abdalla Aly, Method of forming a copper topped interconnect structure that has thin and thick copper traces.
  124. Lin Chien-Hsing,TWX, Method of forming copper dual damascene structure.
  125. Ponoth,Shom; Chen,Steven Shyng Tsong; Fitzsimmons,John Anthony; Spooner,Terry Allen, Method of making a semiconductor structure with a plating enhancement layer.
  126. Engelhardt,Manfred, Method of producing an integrated circuit configuration.
  127. Chopra,Dinesh; Donohoe,Kevin G.; Basceri,Cem, Method of providing a structure using self-aligned features.
  128. Chen,LinLin; Graham,Lyndon W.; Ritzdorf,Thomas L.; Fulton,Dakin; Batz, Jr.,Robert W., Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density.
  129. Varadarajan, Bhadri N., Method to obtain SiC class of films of desired composition and film properties.
  130. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  131. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  132. Ahn,Kie Y.; Forbes,Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  133. Kie Y. Ahn ; Leonard Forbes, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  134. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  135. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  136. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  137. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  138. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  139. Jiang,Ping; Kraft,Rob; Xing,Guoqiang; Kirmse,Karen H. R.; Zielinski,Eden, Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities.
  140. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  141. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  142. Ahn,Kie Y.; Forbes,Leonard, Methods for making integrated-circuit wiring from copper, silver, gold, and other metals.
  143. Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Wu, Hui-Jung; van Schravendijk, Bart; Branshaw, Kimberly, Methods for reducing UV and dielectric diffusion barrier interaction.
  144. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  145. Collins,Dale W., Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces.
  146. Shah, Rajiv; Pendo, Shaun, Multilayer circuit devices and manufacturing methods using electroplated sacrificial structures.
  147. Shah, Rajiv; Pendo, Shaun; Babiricki, Edward B., Multilayer substrate.
  148. Shah,Rajiv; Pendo,Shaun; Babiricki,Edward G., Multilayer substrate.
  149. Ahn,Kie Y.; Forbes,Leonard; Eldridge,Jerome M., Multilevel copper interconnect with double passivation.
  150. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  151. Ahn,Kie Y.; Forbes,Leonard, Multilevel copper interconnects with low-k dielectrics and air gaps.
  152. Lu, Lee-Chung; Hou, Yuan-Te; Lin, Shyue-Shyh; Tien, Li-Chun; Chen, Dian-Hau, Non-hierarchical metal layers for integrated circuits.
  153. Lu, Lee-Chung; Hou, Yuan-Te; Lin, Shyue-Shyh; Tien, Li-Chun; Chen, Dian-Hau, Non-hierarchical metal layers for integrated circuits.
  154. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  155. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  156. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  157. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  158. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  159. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  160. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  161. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  162. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  163. Towle, Steven; Andideh, Ebrahim; Wong, Lawrence D., Plasma induced depletion of fluorine from surfaces of fluorinated low-k dielectric materials.
  164. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  165. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  166. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  167. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  168. Ramanathan, Sivakami; Padhi, Deenesh; Gandikota, Srinivas; Dixit, Girish A., Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application.
  169. Choi,Hok Kin; Thirumala,Vani; Dubin,Valery; Cheng,Chin chang; Zhong,Ting, Preparation of electroless deposition solutions.
  170. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  171. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  172. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  173. Naoki Komai JP; Yuji Segawa JP; Takeshi Nogami JP, Process for fabricating a semiconductor device.
  174. Paul A. Farrar, Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy.
  175. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  176. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  177. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  178. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  179. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  180. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  181. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  182. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  183. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  184. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  185. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  186. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  187. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  188. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  189. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  190. Wu, Hui-Jung; Shafi, Kimberly; Chattopadhyay, Kaushik; Fox, Keith; Mountsier, Tom; Dixit, Girish; van Schravendijk, Bart; Apen, Elizabeth, Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties.
  191. Varadarajan, Bhadri, Remote plasma based deposition of SiOC class of films.
  192. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  193. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  194. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  195. Weidman,Timothy W., Ruthenium containing layer deposition method.
  196. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  197. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  198. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  199. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  200. Ahn,Kie Y.; Forbes,Leonard, Selective electroless-plated copper metallization.
  201. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  202. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  203. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  204. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  205. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  206. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  207. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  208. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  209. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  210. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  211. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  212. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  213. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  214. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  215. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  216. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  217. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  218. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  219. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  220. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  221. Sergey D. Lopatin ; Carl J. Galewski, Semiconductor catalytic layer and atomic layer deposition thereof.
  222. Iwasaki, Tomio; Miura, Hideo, Semiconductor device and method for producing the same.
  223. Park, Sangjine; Yoon, Boun; Han, Jeongnam; Kwon, Kee-Sang; Choi, Wonsang, Semiconductor device having metal plug and method of manufacturing the same.
  224. Akram, Salman; Wark, James M.; Hiatt, William Mark, Semiconductor devices comprising nickel- and copper-containing interconnects.
  225. Collins,Dale W., Semiconductor having a substantially uniform layer of electroplated metal.
  226. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  227. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  228. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  229. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  230. Lee, Kyoung Woo; Ku, Ja Hum; Park, Ki Chul; Choi, Seung Man, Semiconductor wafer having embedded electroplating current paths to provide uniform plating over wafer surface.
  231. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  232. Martin, Jeremy I.; Apelgren, Eric M.; Zistl, Christian; Besser, Paul R.; Dakshina-Murthy, Srikantewara; Smith, Jonathan B.; Kepler, Nick; Cheung, Fred, Sidewall treatment for low dielectric constant (low K) materials by ion implantation.
  233. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  234. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  235. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  236. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  237. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  238. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  239. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  240. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  241. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  242. Yu, Yongsik; van Schravendijk, Bart J.; Shankar, Nagraj; Varadarajan, Bhadri N., Staircase encapsulation in 3D NAND fabrication.
  243. Vassili Kitch, Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures.
  244. Farrar, Paul A., Structures and methods to enhance copper metallization.
  245. Farrar, Paul A., Structures and methods to enhance copper metallization.
  246. Farrar, Paul A., Structures and methods to enhance copper metallization.
  247. Farrar,Paul A., Structures and methods to enhance copper metallization.
  248. Farrar,Paul A., Structures and methods to enhance copper metallization.
  249. Paul A. Farrar, Structures and methods to enhance copper metallization.
  250. Chen, Linlin; Graham, Lyndon W.; Ritzdorf, Thomas L.; Fulton, Dakin; Batz, Jr., Robert W., Submicron metallization using electrochemical deposition.
  251. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  252. Krishnashree Achuthan ; Sergey Lopatin, Tin palladium activation with maximized nuclei density and uniformity on barrier material in interconnect structure.
  253. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  254. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  255. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  256. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  257. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  258. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  259. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  260. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  261. Lin, Mou-Shiung, Top layers of metal for high performance IC's.
  262. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  263. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  264. Lepp, James Randolph Winter; Cormier, Jean-Philippe Paul; Schwandt, Sheldon Terry; Los, Oleg; Braun, Petra, UICC apparatus.
  265. Lepp, James Randolph Winter; Cormier, Jean-Philippe Paul; Schwandt, Sheldon Terry; Los, Oleg; Braun, Petra, UICC apparatus.
  266. Lepp, James Randolph Winter; Cormier, Jean-Philippe Paul; Schwandt, Sheldon Terry; Los, Oleg; Braun, Petra, UICC apparatus.
  267. Lepp, James Randolph Winter; Cormier, Jean-Philippe Paul; Schwandt, Sheldon Terry; Los, Oleg; Braun, Petra, UICC apparatus.
  268. Lepp, James Randolph Winter; Cormier, Jean-Philippe Paul; Schwandt, Sheldon Terry; Los, Oleg; Braun, Petra, UICC apparatus and related methods.
  269. Schwandt, Sheldon Terry; Dehmoubed, Farzin; Infanti, James Carl; Lepp, James Randolph Winter; Los, Oleg, Universal integrated circuit card apparatus and related methods.
  270. Dubin,Valery M.; Cheng,Chin Chang; Hussein,Makarem; Nguyen,Phi L.; Brain,Ruth A., Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures.
  271. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  272. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  273. Sailesh Chittipeddi ; Sailesh Mansinh Merchant, Wire bonding to copper.
  274. Matsunaga, Noriaki; Usui, Takamasa; Ito, Sachiyo, Wiring structure of semiconductor device.
  275. Shimizu, Yuichiro, Wiring substrate.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로