$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of improving moisture resistance of low dielectric constant films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/40
출원번호 US-0187460 (1998-11-04)
발명자 / 주소
  • Yau Wai-Fan
  • Cheung David
  • Chopra Nasreen Gazala
  • Lu Yung-Cheng
  • Mandal Robert
  • Moghadam Farhad
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Thomason, Moser & Patterson
인용정보 피인용 횟수 : 69  인용 특허 : 10

초록

A method and apparatus for depositing a low dielectric constant film includes depositing a silicon oxide based film, preferably by reaction of an organosilicon compound and an oxidizing gas at a low RF power level from about 10 W to about 500 W, exposing the silicon oxide based film to water or a hy

대표청구항

[ What is claimed is:] [1.]1. A method for depositing a low dielectric constant film, comprising:depositing a silicon oxide based film comprising carbon-silicon bonds and a carbon content of between about 1% and about 50% by atomic weight on a substrate wherein the silicon oxide based film is locate

이 특허에 인용된 특허 (10)

  1. Chandra Grish ; Haluska Loren Andrew ; Michael Keith Winton, Coating electronic substrates with silica derived from polycarbosilane.
  2. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  3. Yano Kousaka,JPX ; Sugiyama Tatsuo,JPX ; Ueda Satoshi,JPX ; Nomura Noboru,JPX, Method for manufacturing a semiconductor device involving forming two silicon oxide layers by CVD and forming HMDS betw.
  4. Okamura Kenji (Tokyo JPX) Zenke Masanobu (Tokyo JPX) Den Yasuhide (Tokyo JPX), Method of fabricating semiconductor devices.
  5. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  6. Ishikawa Yoshimitsu (Nagasaki JPX), Method of forming oxide film.
  7. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  8. Sukharev Valeriy ; Uesato Warren ; Hu John Rongxiang ; Hsia Wei-Jen ; Qian Linggian, Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage.
  9. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  10. Yano Kousaku,JPX ; Sugiyama Tatsuo,JPX ; Ueda Satoshi,JPX ; Nomura Noboru,JPX, Semiconductor device and associated fabrication method.

이 특허를 인용한 특허 (69)

  1. Mikhail Rodionovich Baklanov BE; Fedor Nikolaevich Dultsev RU; Konstantin Petrovich Mogilnikov RU; Karen Maex BE, Apparatus and method for determining porosity.
  2. Abell, Thomas Joseph, Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment.
  3. Kumagai,Takeshi; Katoh,Hitoshi; Lee,Jinsu; Maku,Shingo, CVD method and device for forming silicon-containing insulation film.
  4. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  5. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  6. Yates, Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  7. Yates, Donald L., Compositions for use in semiconductor devices.
  8. Yates, Donald L., Compositions for use in semiconductor devices.
  9. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  10. Guoqiang Xing ; Ping Jiang, Damascene cap layer process for integrated circuit interconnects.
  11. Calvin T. Gabriel ; Lynne A. Okada, Dielectric layer with treated top surface forming an etch stop layer and method of making the same.
  12. Towle, Steven N., Dual damascene process using a low k interlayer for forming vias and trenches.
  13. Gleason, Karen K.; Ober, Christopher; Herr, Daniel, Electrical device including dielectric layer formed by direct patterning process.
  14. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  15. Xu, Ping; Lee, Jia; Lou, Ishing; Xia, Li-Qun, Fluorine-containing layers for damascene structures.
  16. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  17. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  18. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  19. Sakurai,Ryo; Hiraoka,Hidetoshi; Kobayashi,Taichi; Yamazaki,Hirotaka; Kitano,Hajime, Image display panel and image display device.
  20. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes.
  21. Pokharna, Himansu; Xia, Li-Qun; Lim, Tian H., Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes.
  22. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  23. Kawamura,Keisuke; Takano,Akemi; Mashima,Hiroshi; Takatuka,Hiromu; Yamauti,Yasuhiro; Takeuchi,Yoshiaki; Sasakawa,Eishiro, Method and device for generating uniform high-frequency plasma over large surface area used for plasma chemical vapor deposition apparatus.
  24. Harvey, Keith R.; Lim, Tian-Hoe; Xia, Li-Qun, Method for densification of CVD carbon-doped silicon oxide films through UV irradiation.
  25. Dussarrat, Christian, Method for forming a dielectric film and novel precursors for implementing said method.
  26. Takahashi, Masashi; Nagata, Toshio; Tsurugida, Yoshirou; Ohsako, Takashi; Mori, Hirotaka; Ohara, Akihiko; Uchida, Hidetsugu; Uchida, Hiroaki; Yoshida, Katsuji; Takahashi, Masahiro, Method for forming insulating film and for manufacturing integrated circuit.
  27. Hsieh, Tsung-Tang; Tsai, Cheng-Yuan; Huang, Chih-An, Method for improving the coating capability of low-k dielectric layer.
  28. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  29. Hsieh, Tsung-Tang; Tsai, Cheng-Yuan; Wu, Hsin-Chang; Huang, Chih-An, Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer.
  30. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  31. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  32. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  33. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  34. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  35. Powell, Don Carl; Mercaldi, Garry Anthony, Method of forming a dielectric layer.
  36. Wai-Fan Yau ; David Cheung ; Nasreen Gazala Chopra ; Yung-Cheng Lu ; Robert Mandal ; Farhad Moghadam, Method of improving moisture resistance of low dielectric constant films.
  37. Yau, Wai-Fan; Cheung, David; Chopra, Nasreen Gazala; Lu, Yung-Cheng; Mandal, Robert; Moghadam, Farhad, Method of improving moisture resistance of low dielectric constant films.
  38. Barnes, Michael; M'Saad, Hichem; Nguyen, Huong Thanh; Moghadam, Farhad, Method of making a fluoro-organosilicate layer.
  39. Hongning Yang ; David Russell Evans ; Sheng Teng Hsu, Method of making low-K carbon doped silicon oxide.
  40. Patrick A. Van Cleemput ; Ravi Kumar Laxman ; Jen Shu ; Michelle T. Schulberg ; Bunsen Nie, Method to deposit SiOCH films with dielectric constant below 3.0.
  41. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  42. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  43. Li, Ming; Van Schravendijk, Bart; Mountsier, Tom; Chi, Chiu; Ilcisin, Kevin; Hsieh, Julian, Methods of forming moisture barrier for low K film integration with anti-reflective layers.
  44. Li, Ming; Van Schravendijk, Bart; Mountsier, Tom; Chi, Chiu; Ilcisin, Kevin; Hsieh, Julian, Methods of forming moisture barrier for low k film integration with anti-reflective layers.
  45. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  46. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  47. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  48. Nguyen, Huong Thanh; Kim, Yunsang; Yieh, Ellie; Xia, Li-Qun, Optical marker layer for etch endpoint determination.
  49. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  50. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  51. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  52. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  53. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  54. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  55. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  56. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure.
  57. Catabay, Wilbur G.; Hsia, Wei-Jen, Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for intergrated circuit structure.
  58. Todd, Michael A., Process for depositing low dielectric constant materials.
  59. Todd,Michael A., Process for depositing low dielectric constant materials.
  60. Hsieh, Chang-Lin; Yuan, Jie; Chen, Hui; Panagopoulos, Theodoros; Ye, Yan, Process for selectively etching dielectric layers.
  61. Todd, Michael A., Processes for depositing low dielectric constant materials.
  62. Bencher,Christopher Dennis, Removable amorphous carbon CMP stop.
  63. Shioya, Yoshimi; Ohira, Kouichi; Maeda, Kazuo; Suzuki, Tomomi; Ikakura, Hiroshi; Yamamoto, Youichi, Semiconductor device and method of manufacturing the same.
  64. Takashi Yokoyama JP; Tatsuya Usami JP, Semiconductor device and process for producing the same.
  65. Farkas, Janos; Kordic, Srdjan; Goldberg, Cindy, Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device.
  66. Farkas, Janos; Calvo-Munoz, Maria Luisa; Kordic, Srdjan, Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device.
  67. Li-Qun Xia ; Paul Fisher ; Margaret Lynn Gotuaco ; Frederic Gaillard FR; Ellie Yieh, Silicon carbide cap layers for low dielectric constant silicon oxide layers.
  68. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  69. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로