$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Integrated low K dielectrics and etch stops 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23F-001/00
출원번호 US-0329012 (1999-06-09)
발명자 / 주소
  • Claes H. Bjorkman
  • Min Melissa Yu
  • Hongquing Shan
  • David W. Cheung
  • Wai-Fan Yau
  • Kuowei Liu
  • Nasreen Gazala Chapra
  • Gerald Yin
  • Farhad K. Moghadam
  • Judy H. Huang
  • Dennis Yost
  • B
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan
인용정보 피인용 횟수 : 311  인용 특허 : 25

초록

A method of depositing and etching dielectric layers having low dielectric constants and etch rates that vary by at least 3:1 for formation of horizontal interconnects. The amount of carbon or hydrogen in the dielectric layer is varied by changes in deposition conditions to provide low k dielectric

대표청구항

1. A process for depositing and etching intermetal dielectric layers, comprising:depositing a first dielectric layer having a dielectric constant less than about 4.0; depositing a second dielectric layer having a dielectric constant less than about 4.0 on the first dielectric layer; and etching the

이 특허에 인용된 특허 (25)

  1. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  2. Morita Katsumi (Matsudo JPX), Chemical vapor deposition method of silicon dioxide film.
  3. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  4. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  5. Hunter ; Jr. Robert O. (Rancho Santa Fe CA) Smith Adlai H. (San Diego CA) McArthur Bruce B. (San Diego CA), Direct etch processes for the manufacture of high density modules.
  6. Tahara Yoshifumi (Machida JPX) Hirano Yoshihisa (Kodaira JPX) Ogasawara Masahiro (Hachioji JPX) Hasegawa Isahiro (Zushi JPX) Horioka Keiji (Kawasaki JPX) Matsushita Takaya (Yokohama JPX), Dry etching method.
  7. Tsang Chi-Hwa (Aloha OR) Charvat Peter K. (Portland OR) Guptill Robert M. (Beaverton OR), Dry process for stripping photoresist from a polyimide surface.
  8. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  9. Laxman Ravi K. (Encinitas CA) Hochberg Arthur K. (Solana Beach CA) Roberts David A. (Escondido CA) Vrtis Raymond N. (LaCosta CA), Fluorine doped silicon oxide process.
  10. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  11. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  12. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  13. Pu Bryan ; Shan Hongching ; Welch Michael, Method for etching dielectric layers with high selectivity and low microloading.
  14. Loboda Mark Jon ; Seifferly Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  15. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  16. Chang Mark S. (Los Altos CA) Cheung Robin W. (Cupertino CA), Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed perfo.
  17. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  18. Tahara Yoshifumi (Yamato JPX) Hirano Yoshihisa (Yokohama JPX) Hasegawa Isahiro (Zushi JPX) Horioka Keiji (Kawasaki JPX), Method of etching object to be processed including oxide or nitride portion.
  19. Akram Salman ; Futrell John R. C. ; McDonald Steven M., Method of making a metallized recess in a substrate.
  20. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  21. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  22. Jeng Shin-Puu (2508 Evergreen Dr. Plano TX 75075), Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators.
  23. Jeng Shin-Puu (Plano TX), Planarizeed multi-level interconnect scheme with embedded low-dielectric constant insulators.
  24. Cheung Robin W. (Cupertino CA) Chang Mark S. (Los Altos CA), Processing techniques for achieving production-worthy, low dielectric, low dielectric, low interconnect resistance and h.
  25. Matsuura Masazumi,JPX, Semiconductor device organic insulator film.

이 특허를 인용한 특허 (311)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Klawuhn, Erich R.; Rozbicki, Robert; Dixit, Girish A., Apparatus and methods for deposition and/or etch selectivity.
  11. Pradhan, Anshu A.; Rozbicki, Robert, Atomic layer profiling of diffusion barrier and metal seed layers.
  12. Pradhan, Anshu A.; Rozbicki, Robert, Atomic layer profiling of diffusion barrier and metal seed layers.
  13. Hsieh,Chang Lin; Zhang,QiQun; Yuan,Jie; Leung,Terry; Halim,Silvia, BARC shaping for improved fabrication of dual damascene integrated circuit features.
  14. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  15. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  18. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  19. Lubomirsky, Dmitry, Chamber with flow-through source.
  20. Lubomirsky, Dmitry, Chamber with flow-through source.
  21. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  22. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  23. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  24. Golecki, Ilan, Coatings and method for protecting carbon-containing components from oxidation.
  25. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  26. Yates,Donald L, Compositions for dissolution of low-k dielectric film, and methods of use.
  27. Yates, Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  28. Yates, Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  29. Yates,Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  30. Yates,Donald L, Compositions for dissolution of low-k dielectric films, and methods of use.
  31. Yates, Donald L., Compositions for use in semiconductor devices.
  32. Yates, Donald L., Compositions for use in semiconductor devices.
  33. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  34. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  35. Shaviv, Roey; Gopinath, Sanjay; Holverson, Peter; Pradhan, Anshu A., Conformal films on semiconductor substrates.
  36. Shaviv, Roey; Gopinath, Sanjay; Holverson, Peter; Pradhan, Anshu A., Conformal films on semiconductor substrates.
  37. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  38. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  39. Miles, Mark W.; Batey, John; Chui, Clarence; Kothari, Manish; Tung, Ming-Hau, Controlling electromechanical behavior of structures within a microelectromechanical systems device.
  40. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  41. Guoqiang Xing ; Ping Jiang, Damascene cap layer process for integrated circuit interconnects.
  42. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  43. Wu, Hui-Jung; Juliano, Daniel R.; Wu, Wen; Dixit, Girish, Deposition of doped copper seed layers having improved reliability.
  44. Dulkin, Alexander; Vijayendran, Anil; Yu, Tom; Juliano, Daniel R., Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer.
  45. Floyd, Philip D., Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator.
  46. Floyd, Philip D., Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator.
  47. Park,Hyun Mog, Dielectric with sidewall passivating layer.
  48. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  49. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  50. Abdelgadir, Mahjoub Ali; Layadi, Nace; Merchant, Sailesh Mansinh; Saxena, Vivek; Yih, Pei H., Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics.
  51. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  52. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  53. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  54. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  55. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  56. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  57. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  58. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  59. Shyh-Dar Lee TW; Chen-Chiu Hsue TW, Dual damascene process using an oxide liner for a dielectric barrier layer.
  60. Yokoyama, Takashi, Dual damascene structure with carbon containing SiO2 dielectric layers.
  61. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  62. Nemani, Srinivas; Xia, Li-Qun; Yieh, Ellie, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  63. Srinivas Nemani ; Li-Qun Xia ; Ellie Yieh, Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers.
  64. Xu, Gang; Gousev, Evgeni, Electrode and interconnect materials for MEMS devices.
  65. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  66. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  67. Boiteux, Yves Pierre; Chen, Hui; Gregoratto, Ivano; Hsieh, Chang-Lin; Hung, Hoiman; Tang, Sum-Yee Betty, Etch process for dielectric materials comprising oxidized organo silane materials.
  68. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  69. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  70. Park, Geon-Ook, Fabrication method of semiconductor device.
  71. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  72. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  73. Ingle,Nitin K.; Wong,Shan; Xia,Xinyun; Banthia,Vikash; Bang,Won B.; Wang,Yen Kun V.; Yuan,Zheng, Gap-fill depositions in the formation of silicon containing dielectric materials.
  74. Ingle,Nitin K.; Wong,Shan; Xia,Xinyun; Banthia,Vikash; Bang,Won B.; Wang,Yen Kun V., Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials.
  75. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  76. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  77. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  78. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  79. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  80. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  81. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  82. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  83. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  84. Fuller,Nicholas C. M.; Dalton,Timothy J., High ion energy and reative species partial pressure plasma ash process.
  85. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  86. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  87. Yang, Chan-Syun; Lee, Changhun, Hydrogen ashing enhanced with water vapor and diluent gas.
  88. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  89. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  90. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  91. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  92. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  93. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  94. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  95. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Gotuaco, Margaret, Integration scheme for dual damascene structure.
  96. Shih, Po-Cheng; Yang, Hui-Chun; Sun, Chih-Hung; Liou, Joung-Wei, Interconnect structure and method for forming the same.
  97. Gates, Stephen McConnell; Hedrick, Jeffrey Curtis; Nitta, Satyanarayana V.; Purushothaman, Sampath; Tyberg, Cristy Sensenich, Interconnect structure with precise conductor resistance and method to form same.
  98. Padhi,Deenesh; Balasubramanian,Ganesh; Lakshmanan,Annamalai; Cui,Zhenjiang; Rocha Alvarez,Juan Carlos; Kim,Bok Hoen; M'Saad,Hichem; Reiter,Steven; Schmitt,Francimar, Interface engineering to improve adhesion between low k stacks.
  99. Gousev, Evgeni; Xu, Gang; Mienko, Marek, Interferometric optical display system with broadband characteristics.
  100. Gousev, Evgeni; Xu, Gang; Mienko, Marek, Interferometric optical display system with broadband characteristics.
  101. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  102. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  103. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  104. Yuan,Zheng; Venkataraman,Shankar; Ching,Cary; Wong,Shang; Mukai,Kevin Mikio; Ingle,Nitin K., Limited thermal budget formation of PMD layers.
  105. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  106. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  107. Sundararajan, Srinivasan; Trivedi, Mayur, Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications.
  108. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  109. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  110. Londergan, Ana R.; Natarajan, Bangalore R.; Gousev, Evgeni; Webster, James Randolph; Heald, David, MEMS cavity-coating layers and methods.
  111. Londergan, Ana R.; Natarajan, Bangalore R.; Gousev, Evgeni; Webster, James Randolph; Heald, David, MEMS cavity-coating layers and methods.
  112. Lewis, Alan G.; Kothari, Manish; Batey, John; Sasagawa, Teruo; Tung, Ming Hau; U'Ren, Gregory D.; Zee, Stephen, MEMS device and interconnects for same.
  113. Sasagawa, Teruo, MEMS device and interconnects for same.
  114. Chui, Clarence, MEMS device fabricated on a pre-patterned substrate.
  115. Zhong, Fan; Wang, Chun-Ming; Zee, Stephen, MEMS device with integrated optical element.
  116. Sampsell, Jeffrey Brian; Gally, Brian James; Floyd, Philip Don, MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same.
  117. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  118. Yim,Kang Sub; Sen,Soovo; Sugiarto,Dian; Lee,Peter; Yieh,Ellie, Method and apparatus for deposition of low dielectric constant materials.
  119. Pradhan, Anshu A.; Hayden, Douglas B.; Kinder, Ronald L.; Dulkin, Alexander, Method and apparatus for increasing local plasma density in magnetically confined plasma.
  120. Danek, Michal; Rozbicki, Robert, Method for depositing a diffusion barrier for copper interconnect applications.
  121. Xia, Li-Qun; Xu, Ping; Yang, Louis; Huang, Tzu-Fang; Zhu, Wen H., Method for depositing a low k dielectric film (K>3.5) for hard mask application.
  122. Yan, Chun; Hsueh, Gary C.; Ye, Yan; Ma, Diana Xiaobing, Method for etching low k dielectrics.
  123. San, Nelson Loke Chou; Satoh, Kiyoshi, Method for forming integrated dielectric layers.
  124. Li, Lih-Ping; Lu, Yung-Chen; Jang, Syun-Ming, Method for low k dielectric deposition.
  125. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  126. Arghavani,Reza; Kwan,Michael Chiu; Xia,Li Qun; Yim,Kang Sub, Method for producing gate stack sidewall spacers.
  127. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  128. Genz,Oliver; Kirchhoff,Markus; Machill,Stephan; Reb,Alexander; Schmidt,Barbara; Stavrev,Momtchil; Stegemann,Maik; Wege,Stephan, Method for production of a semiconductor structure.
  129. Restaino, Darryl D.; Bennett, Delores; Fitzsimmons, John A.; Fritche, John; Hedrick, Jeffrey C.; Liu, Chih-Chien; Siddiqui, Shahab; Tyberg, Christy S., Method for reworking low-k polymers used in semiconductor structures.
  130. Rozbicki, Robert T.; Danek, Michal; Klawuhn, Erich R., Method of depositing a diffusion barrier for copper interconnect applications.
  131. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  132. Rozbicki, Robert; Danek, Michal; Klawuhn, Erich, Method of depositing a diffusion barrier for copper interconnect applications.
  133. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  134. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  135. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  136. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  137. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  138. Campana, Francimar; Nemani, Srinivas; Chapin, Michael; Venkataraman, Shankar, Method of depositing low dielectric constant silicon carbide layers.
  139. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  140. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  141. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  142. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  143. Gaillard, Frederic; Xia, Li-Qun; Yieh, Ellie; Fisher, Paul; Nemani, Srinivas D., Method of depositing organosillicate layers.
  144. Kim, Yunsang; Doan, Kenny L.; Bjorkman, Claes H.; Shan, Hongqing, Method of etching a trench in a silicon-containing dielectric material.
  145. Hsieh, Chang Lin; Chen, Hui; Yuan, Jie; Ye, Yan, Method of etching carbon-containing silicon oxide films.
  146. Ko, Jungmin, Method of fin patterning.
  147. Huang, Yimin; Yew, Tri-Rung, Method of forming dual damascene structure.
  148. Shih, Po-Cheng; Yang, Hui-Chun; Sun, Chih-Hung; Liou, Joung-Wei, Method of forming semiconductor device using remote plasma treatment.
  149. Goundar,Kamal Kishore; Kumakura,Tadashi; Satoh,Kiyoshi, Method of forming silicon carbide films.
  150. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  151. Arghavani, Reza; Yuan, Zheng; Yieh, Ellie Y.; Venkataraman, Shankar; Ingle, Nitin K., Method of inducing stresses in the channel region of a transistor.
  152. Shih, Po-Cheng; Peng, Yu-Yun; Chou, Chia Cheng; Liou, Joung-Wei, Method of making interconnect structure.
  153. Sasaki, Yoichi; Ohto, Koichi; Morita, Noboru; Usami, Tatsuya; Miyamoto, Hidenobu, Method of manufacturing a semiconductor device.
  154. Lin, Wen Jian, Method of manufacturing optical interference color display.
  155. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Method of modifying interlayer adhesion.
  156. Schmitt,Francimar Campana; Xia,Li Qun; Nguyen,Son Van; Venkataraman,Shankar, Method of modifying interlayer adhesion.
  157. Yim,Kang Sub; Chan,Kelvin; Rajagopalan,Nagarajan; Liu,Josephine Ju Hwei Chang; Ahn,Sang H.; Zheng,Yi; Yi,Sang In; Nguyen,Vu Ngoc Tran; Demos,Alexandros T., Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers.
  158. Ingle, Nitin K.; Xia, Xinyua; Yuan, Zheng, Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill.
  159. Ingle,Nitin K.; Xia,Xinyua; Yuan,Zheng, Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill.
  160. Dulkin, Alexander; Rairkar, Asit; Greer, Frank; Pradhan, Anshu A.; Rozbicki, Robert, Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer.
  161. Rozbicki, Robert, Methods and apparatus for resputtering process that improves barrier coverage.
  162. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  163. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  164. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  165. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  166. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  167. Qiu, Chengbin; Sasagawa, Teruo; Tung, Ming-Hau; Wang, Chun-Ming; Zee, Stephen, Methods for etching layers within a MEMS device to achieve a tapered edge.
  168. Uglow,Jay E.; Bright,Nicolas J.; Hemker,Dave J.; MacWilliams,Kenneth P.; Benzing,Jeffrey C.; Archer,Timothy M., Methods for making dual-damascene dielectric structures.
  169. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  170. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  171. Sampsell, Jeffrey Brian; Gally, Brian James; Floyd, Philip Don, Methods of fabricating MEMS with spacers between plates and devices formed by same.
  172. Li, Li; Hineman, Max F.; Tuttle, Mark E., Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry.
  173. Sharan, Sujit; Sandhu, Gurtej S., Methods of forming silicon dioxide layers and methods of forming trench isolation regions.
  174. Sharan, Sujit; Sandhu, Gurtej S., Methods of forming silicon dioxide layers, and methods of forming trench isolation regions.
  175. Sharan,Sujit; Sandhu,Gurtej S., Methods of forming silicon dioxide layers, and methods of forming trench isolation regions.
  176. Sharan,Sujit; Sandhu,Gurtej S., Methods of forming silicon dioxide layers, and methods of forming trench isolation regions.
  177. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  178. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  179. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  180. Sasagawa, Teruo; Kogut, Lior, Microelectromechanical device and method utilizing a porous surface.
  181. Nguyen,Son Van; Zheng,Yi, Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices.
  182. Ingle, Nitin K.; Yuan, Zheng; Banthia, Vikash; Xia, Xinyun; Forstner, Hali J. L.; Pan, Rong, Multi-step anneal of thin films for film densification and improved gap-fill.
  183. Rozbicki, Robert; van Schravendijk, Bart; Mountsier, Thomas; Wu, Wen, Multistep method of depositing metal seed layers.
  184. Rozbicki, Robert; van Schravendijk, Bart; Mountsier, Tom; Wu, Wen, Multistep method of depositing metal seed layers.
  185. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  186. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  187. Yuan, Zheng; Arghavani, Reza; Venkataraman, Shankar, Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill.
  188. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  189. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  190. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  191. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  192. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  193. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  194. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  195. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  196. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  197. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  198. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  199. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  200. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  201. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  202. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  203. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  204. Quiles, Efrain; Noorbakhsh, Hamid; Carducci, James D, Plasma reactor with a tri-magnet plasma confinement apparatus.
  205. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  206. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  207. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  208. Ma, Ching-Hui; Liu, Jen-Cheng; Chao, Li-Chih, Prevention of spiking in ultra low dielectric constant material.
  209. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  210. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  211. Haider,Asad M., Process and integration scheme for a high sidewall coverage ultra-thin metal seed layer.
  212. Cummings, William J; Gally, Brian J, Process for modifying offset voltage characteristics of an interferometric modulator.
  213. Li,Li; Hineman,Max F.; Tuttle,Mark E., Processing method of forming MRAM circuitry.
  214. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  215. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  216. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  217. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  218. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  219. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  220. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  221. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  222. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  223. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  224. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  225. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  226. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  227. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  228. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  229. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  230. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  231. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  232. Kailasam, Sridhar; Rozbicki, Robert; Yu, Chentao; Hayden, Douglas, Resputtering process for eliminating dielectric damage.
  233. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  234. Bao,Tien I; Jang,Syun Ming, Scum solution for chemically amplified resist patterning in cu/low k dual damascene.
  235. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  236. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  237. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  238. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  239. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  240. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  241. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  242. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  243. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  244. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  245. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  246. Yan, Xiaoming; Arbuckle, Brian; Gousev, Evgeni; Tung, Ming Hau, Selective etching of MEMS using gaseous halides and reactive co-etchants.
  247. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  248. Morgan, Paul A.; Sinha, Nishant, Selective metal deposition over dielectric layers.
  249. Juliano, Daniel R., Selective resputtering of metal seed layers.
  250. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  251. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  252. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  253. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  254. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  255. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  256. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  257. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  258. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  259. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  260. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  261. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  262. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  263. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  264. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  265. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  266. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwasaki, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  267. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwaskai, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  268. Noguchi, Junji; Oshima, Takayuki; Miura, Noriko; Ishikawa, Kensuke; Iwaskai, Tomio; Katsuyama, Kiyomi; Saito, Tatsuyuki; Tamaru, Tsuyoshi; Yamaguchi, Hizuru, Semiconductor device and manufacturing method thereof.
  269. Ohmori, Kazutoshi; Tamaru, Tsuyoshi; Ohashi, Naohumi; Sato, Kiyohiko; Maruyama, Hiroyuki, Semiconductor device and manufacturing method thereof.
  270. Ohmori, Kazutoshi; Tamaru, Tsuyoshi; Ohashi, Naohumi; Sato, Kiyohiko; Maruyama, Hiroyuki, Semiconductor device and manufacturing method thereof.
  271. Ohmori,Kazutoshi; Tamaru,Tsuyoshi; Ohashi,Naohumi; Sato,Kiyohiko; Maruyama,Hiroyuki, Semiconductor device and manufacturing method thereof.
  272. Usami,Tatsuya; Morita,Noboru, Semiconductor device and method for manufacturing the same.
  273. Sasaki,Yoichi; Ohto,Koichi; Morita,Noboru; Usami,Tatsuya; Miyamoto,Hidenobu, Semiconductor device and method of manufacturing a semiconductor device.
  274. Matsunaga,Noriaki; Higashi,Kazuyuki, Semiconductor device having wiring layer formed in wiring groove.
  275. Usami,Tatsuya; Ishigami,Takashi; Kurokawa,Tetsuya; Oda,Noriaki, Semiconductor device including ladder-shaped siloxane hydride and method for manufacturing same.
  276. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  277. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  278. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  279. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  280. Yu, Hung-Tien; Chen, Yiwen, Sequential deposition process for gap filling.
  281. Huston, Joel M., Showerhead assembly.
  282. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  283. Kim, Dong-Su, Silica microstructure and fabrication method thereof.
  284. Bencher, Christopher; Feng, Joe; Shek, Mei-Yee; Ngai, Chris; Huang, Judy, Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating.
  285. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  286. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  287. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  288. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  289. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  290. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  291. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  292. Haider,Asad M.; Griffin, Jr.,Alfred J.; Taylor,Kelly J., Simultaneous deposition and etch process for barrier layer formation in microelectronic device interconnects.
  293. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  294. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  295. Gibson, Jr., Gerald W; Jessen, Scott; Lytle, Steven Alan; Steiner, Kurt George; Vitkavage, Susan Clay, Split barrier layer including nitrogen-containing portion and oxygen-containing portion.
  296. Edelstein, Daniel C.; Grill, Alfred; Patel, Vishnubhai V.; Restaino, Darryl D., Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same.
  297. Edelstein,Daniel C.; Grill,Alfred; Patel,Vishnubhai V.; Restaino,Darryl D., Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same.
  298. Chui, Clarence; Cummings, William J.; Gally, Brian J.; Tung, Ming Hau, System and method for micro-electromechanical operation of an interferometric modulator.
  299. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  300. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  301. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  302. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  303. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  304. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  305. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  306. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
  307. Ho,Chok W.; Tang,Kuo Lung; Lee,Chung Ju, Use of ammonia for etching organic low-k dielectrics.
  308. Kinder, Ronald L.; Pradhan, Anshu A., Use of ultra-high magnetic fields in resputter and plasma etching.
  309. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  310. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  311. Miyamoto, Takaaki, Wiring structure in semiconductor device and method for forming the same.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로