$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/00
  • H01L-021/84
출원번호 US-0695532 (2000-10-23)
발명자 / 주소
  • Chenming Hu
  • Tsu-Jae King
  • Vivek Subramanian
  • Leland Chang
  • Xuejue Huang
  • Yang-Kyu Choi
  • Jakub Tadeusz Kedzierski
  • Nick Lindert
  • Jeffrey Bokor
  • Wen-Chin Lee
출원인 / 주소
  • The Regents of the University of California
대리인 / 주소
    Townsend and Townsend and Crew LLP
인용정보 피인용 횟수 : 536  인용 특허 : 7

초록

A FinFET device is fabricated using conventional planar MOSFET technology. The device is fabricated in a silicon layer overlying an insulating layer (e.g., SIMOX) with the device extending from the insulating layer as a fin. Double gates are provided over the sides of the channel to provide enhanced

대표청구항

1. A method of fabricating a double gate MOSFET device comprising the steps of:a) providing a silicon on insulator (SOI) substrate with a first silicon layer overlying an insulating layer and having an exposed major surface, b) providing an etchant mask on the major surface, c) patterning the etchan

이 특허에 인용된 특허 (7)

  1. Mukai Mikio,JPX, Field effect transistor having multiple gate electrodes surrounding the channel region.
  2. Taur Yuan (Bedford NY) Wong Hon-Sum Philip (Chappagua NY), Method for fabricating a self-aligned double-gate MOSFET by selective lateral epitaxy.
  3. Mukai Mikio,JPX, Method for forming field effect transistor having multiple gate electrodes surrounding the channel region.
  4. Solomon Paul Michael ; Wong Hon-Sum Philip, Method for making single and double gate field effect transistors with sidewall source-drain contacts.
  5. Shih Jiaw-Ren,TWX ; Chen Shui-Hung,TWX ; Lee Jian-Hsing,TWX, Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance.
  6. Chouan Yannick (Louannec FRX) Bonnel Madeleine (St Quay Perros FRX), Process for the production of a thin film transistor having a double gate and an optical mask.
  7. Taur Yuan (Bedford NY) Wong Hon-Sum P. (Chappagua NY), Self-aligned double-gate MOSFET by selective lateral epitaxy.

이 특허를 인용한 특허 (536)

  1. Buyuktosunoglu,Alper; Dokumaci,Omer, Apparatus and method for dynamic control of double gate devices.
  2. Breil, Nicolas, Apparatus and method for laser heating and ion implantation.
  3. Liaw,Jhon Jhy, Apparatus and method for multiple-gate semiconductor device with angled sidewalls.
  4. Kavalieros,Jack T.; Shah,Uday; Rachmady,Willy; Doyle,Brian S., Apparatus and method for selectively recessing spacers on multi-gate devices.
  5. Marino, Fabio Alessio; Menegoli, Paolo, Area efficient field effect device.
  6. Cai, Xiuyu; Xie, Ruilong; Cheng, Kangguo; Khakifirooz, Ali, Asymmetric FinFET semiconductor devices and methods for fabricating the same.
  7. Anderson,Brent A.; Nowak,Edward J., Back gate FinFET SRAM.
  8. Tian, Bozhi; Xie, Ping; Kempa, Thomas J.; Lieber, Charles M.; Cohen-Karni, Itzhaq; Qing, Quan; Duan, Xiaojie, Bent nanowires and related probing of species.
  9. Radosavljevic,Marko; Majumdar,Amlan; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark L.; Brask,Justin K.; Shah,Uday; Datta,Suman; Chau,Robert S., Block contact architectures for nanoscale channel transistors.
  10. Cheng,Shui Ming; Fung,Ka Hing; Wang,Yin Pin, Body contact formation in partially depleted silicon on insulator device.
  11. Marino, Fabio Alessio; Menegoli, Paolo, Body tied intrinsic FET.
  12. Lin, Hong-Nien; Lin, Horng-Chih; Huang, Tiao-Yuan, Body-tied, strained-channel multi-gate device and methods.
  13. Lin, Hong-Nien; Lin, Horng-Chih; Huang, Tiao-Yuan, Body-tied, strained-channel multi-gate device and methods of manufacturing same.
  14. Lin, Hong-Nien; Lin, Horng-Chih; Huang, Tiao-Yuan, Body-tied, strained-channel multi-gate device and methods of manufacturing same.
  15. Lin, Hong-Nien; Lin, Horng-Chih; Huang, Tiao-Yuan, Body-tied, strained-channel multi-gate device and methods of manufacturing same.
  16. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J.; Stiffler, Scott R., Bulk finFET well contacts with fin pattern uniformity.
  17. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  18. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  19. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  20. Seo,Hyeoung Won; Yang,Woun Suck; Song,Du Heon; Youn,Jae Man, Bulk substrates in FinFETs with trench insulation surrounding FIN pairs having FINs separated by recess hole shallower than trench.
  21. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  22. Yeo, Yee-Chia; Hu, Chenming, Capacitor that includes high permittivity capacitor dielectric.
  23. Yeo, Yee-Chia; Hu, Chenming, Capacitor that includes high permittivity capacitor dielectric.
  24. Yeo, Yee-Chia; Hu, Chenming, Capacitor with enhanced performance and method of manufacture.
  25. Seliskar, John J., Castellated gate MOSFET device capable of fully-depleted operation.
  26. Curatola, Gilberto; Ponomarev, Youri Victorovitch, Charge-pump circuit.
  27. Wang, Ping-Wei; Yang, Chang-Ta, Compact SRAM cell with FinFET.
  28. Shin, Kyoungsub; King, Tsu-Jae, Complementary field-effect transistors having enhanced performance with a single capping layer.
  29. Abadeer,Wagdi W.; Brown,Jeffrey S.; Fried,David M.; Gauthier, Jr.,Robert J.; Nowak,Edward J.; Rankin,Jed H.; Tonti,William R., Concurrent Fin-FET and thick-body device fabrication.
  30. Abadeer,Wagdi W.; Brown,Jeffrey S.; Fried,David M.; Gauthier, Jr.,Robert J.; Nowak,Edward J.; Rankin,Jed H.; Tonti,William R., Concurrent fin-fet and thick body device fabrication.
  31. Mathew, Leo; Mora, Rode R.; Nguyen, Bich-Yen; Stephens, Tab A.; Vandooren, Anne M., Confined spacers for double gate transistor semiconductor fabrication process.
  32. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Contacts to semiconductor fin devices.
  33. Forbes, Leonard, DRAM with nanofin transistors.
  34. Forbes, Leonard, DRAM with nanofin transistors.
  35. Forbes, Leonard, DRAM with nanofin transistors.
  36. Forbes,Leonard, DRAM with nanofin transistors.
  37. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Damascene gate process with sacrificial oxide in semiconductor devices.
  38. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Damascene gate semiconductor processing with local thinning of channel region.
  39. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Damascene tri-gate FinFET.
  40. Anderson, Brent A.; Nowak, Edward J., Dense pitch bulk FinFET process by selective EPI and etch.
  41. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  42. Jeng, Pei-Ren, Doping method in 3D semiconductor device.
  43. Cheng, Kangguo; Doris, Bruce B.; Khakifirooz, Ali; Reznicek, Alexander, Doping of FinFET structures.
  44. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  45. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  46. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  47. Yeo,Yee Chia; Wang,Ping Wei; Chen,Hao Yu; Yang,Fu Liang; Hu,Chenming, Doping of semiconductor fin devices.
  48. Lin, Ming-Ren; An, Judy Xilin; Krivokapic, Zoran; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Double and triple gate MOSFET devices and methods for making same.
  49. Lin, Ming-Ren; An, Judy Xilin; Krivokapic, Zoran; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Double and triple gate MOSFET devices and methods for making same.
  50. Liao,Wen Shiang; Shiau,Wei Tsun, Double gate MOSFET device.
  51. Risch, Lothar; R?sner, Wolfgang; Schulz, Thomas, Double gate MOSFET transistor and method for the production thereof.
  52. Yoon,Jae Man; Park,Dong gun; Jin,Gyo young; Makoto,Yoshida; Park,Tai su, Double gate field effect transistor and method of manufacturing the same.
  53. Youn,Jae Mun; Park,Dong gun; Jin,Gyo young; Makoto,Yoshida; Park,Tai su, Double gate field effect transistor and method of manufacturing the same.
  54. Anderson, Brent A.; Nowak, Edward J., Double gate isolation.
  55. Anderson,Brent A.; Nowak,Edward J., Double gate isolation.
  56. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Double gate semiconductor device having a metal gate.
  57. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, Double spacer FinFET formation.
  58. Yu, Bin; Ahmed, Shibly S.; Wang, Haihong, Double-gate semiconductor device with gate contacts formed adjacent sidewalls of a fin.
  59. Ernst, Thomas; Dupre, Cecilia, Double-gate transistor structure equipped with a multi-branch channel.
  60. Lee,Yong Meng; Jin,Da; Vigar,David, Double-gated silicon-on-insulator (SOI) transistors with corner rounding.
  61. Chen, Hung-Kai; Lin, Hsien-Hsin; Lin, Chia-Pin; Chan, Chien-Tai; Peng, Yuan-Ching, Dual epitaxial process for a finFET device.
  62. Chen, Hung-Kai; Lin, Hsien-Hsin; Lin, Chia-Pin; Chan, Chien-Tai; Peng, Yuan-Ching, Dual epitaxial process for a finFET device.
  63. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Dual work function integration for stacked FinFET.
  64. Mathew,Leo; Khazhinsky,Michael G., Electronic device and a process for forming the electronic device.
  65. Mathew, Leo; Khazhinsky, Michael G., Electronic device including a gated diode.
  66. Kavalieros, Jack T.; Mukherjee, Niloy; Dewey, Gilbert; Somasekhar, Dinesh; Doyle, Brian S., Embedded memory cell and method of manufacturing same.
  67. Chang, Josephine B.; Chang, Paul; Guillorn, Michael A.; Sleight, Jeffrey W., Embedded planar source/drain stressors for a finFET including a plurality of fins.
  68. Chang, Josephine B.; Chang, Paul; Guillorn, Michael A.; Sleight, Jeffrey W., Embedded planar source/drain stressors for a finFET including a plurality of fins.
  69. Wahl, Jeremy; Maitra, Kingsuk, FIN-FET device and method and integrated circuits using such.
  70. Iwanaga, Junko; Takagi, Takeshi; Kanzawa, Yoshihiko; Sorada, Haruyuki; Saitoh, Tohru; Kawashima, Takahiro, FINFET-type semiconductor device and method for fabricating the same.
  71. Yu, Chen Hua; Yeh, Chen Nan; Fu, Chu Yun; Hsu, Yu Rung, Fabrication of FinFETs with multiple fin heights.
  72. Kang, Hee-soo; Park, Dong-gun; Lee, Choong-ho; Cho, Hye-Jin; Ahn, Young-Joon, Field effect transistor (FET) devices and methods of manufacturing FET devices.
  73. Kang,Hee soo; Park,Dong gun; Lee,Choong ho; Cho,Hye jin; Ahn,Young joon, Field effect transistor (FET) devices and methods of manufacturing FET devices.
  74. Kim,Sungmin; Li,Ming; Yoon,Eungjung, Field effect transistor (FET) having wire channels and method of fabricating the same.
  75. Oh, Chang-Woo; Park, Dong-Gun; Kim, Dong-Won; Choi, Dong-Uk; Yeo, Kyoung-Hwan, Field effect transistor and method for manufacturing the same.
  76. Oh, Chang-Woo; Park, Dong-Gun; Kim, Dong-Won; Choi, Dong-Uk; Yeo, Kyoung-Hwan, Field effect transistor and method for manufacturing the same.
  77. Lee, Choong-Ho; Yi, Donggu; Lee, Seung Chul; Lee, Hyungsuk; Nam, Seonah; Oh, Changwoo; Lee, Jongwook; Han, Song-Yi, Field effect transistor and method of fabricating the same.
  78. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  79. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  80. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  81. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  82. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  83. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  84. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  85. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  86. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  87. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  88. Anderson, Brent A.; Ludwig, Thomas; Nowak, Edward J., Field effect transistor with raised source/drain fin straps.
  89. Anderson,Brent A.; Ludwig,Thomas; Nowak,Edward J., Field effect transistor with raised source/drain fin straps.
  90. Fehlhaber, Rodger; Tews, Helmut, Field effect transistors having a double gate structure.
  91. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Field effect transistors having multiple stacked channels.
  92. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Field effect transistors having multiple stacked channels.
  93. Ernst, Thomas; Borel, Stephan, Field-effect microelectronic device, capable of forming one or several transistor channels.
  94. Fried, David M.; Nowak, Edward J.; Rainey, Beth A; Sadana, Devendra K., Fin FET devices from bulk semiconductor and method for forming.
  95. Alptekin, Emre; Jain, Sameer H.; Sardesai, Viraj Y.; Tran, Cung D.; Vega, Reinaldo A., Fin end spacer for preventing merger of raised active regions.
  96. Alptekin, Emre; Jain, Sameer H.; Sardesai, Viraj Y.; Tran, Cung D.; Vega, Reinaldo A., Fin end spacer for preventing merger of raised active regions.
  97. Alptekin, Emre; Jain, Sameer H.; Sardesai, Viraj Y.; Tran, Cung D.; Vega, Reinaldo A., Fin end spacer for preventing merger of raised active regions.
  98. Alptekin, Emre; Jain, Sameer H.; Sardesai, Viraj Y.; Tran, Cung D.; Vega, Reinaldo A., Fin end spacer for preventing merger of raised active regions.
  99. Alptekin, Emre; Jain, Sameer H.; Sardesai, Viraj Y.; Tran, Cung D.; Vega, Reinaldo A., Fin end spacer for preventing merger of raised active regions.
  100. Hofmann, Franz; Landgraf, Erhard; Luyken, Richard Johannes, Fin field effect transistor arrangement and method for producing a fin field effect transistor arrangement.
  101. Chan, Kevin K.; Kim, Young-Hee; Kobayashi, Masaharu; Li, Jinghong; Park, Dae-Gyu, Fin field effect transistor including a strained epitaxial semiconductor shell.
  102. Chan, Kevin K.; Kim, Young-Hee; Kobayashi, Masaharu; Li, Jinghong; Park, Dae-Gyu, Fin field effect transistor including a strained epitaxial semiconductor shell.
  103. Chan, Kevin K.; Kim, Young-Hee; Kobayashi, Masaharu; Li, Jinghong; Park, Dae-Gyu, Fin field effect transistor including a strained epitaxial semiconductor shell.
  104. Cai, Ming; Guo, Dechao; Lin, Chung-hsun; Yeh, Chun-chen, Fin field effect transistor with variable channel thickness for threshold voltage tuning.
  105. Kim,Young Pil; Lee,Sun Ghil; Choi,Si Young, Fin field effect transistors having multi-layer fin patterns.
  106. Lee,Deok Hyung; Bae,In Deog; Lee,Byeong Chan; Lee,Jong Wook, Fin field effect transistors with low resistance contact structures.
  107. Tsai, Teng-Chun; Wu, Chun-Yuan; Lin, Chin-Fu; Liu, Chih-Chien; Chien, Chin-Cheng, Fin field-effect transistor structure and manufacturing process thereof.
  108. Morikado,Mutsuo, Fin semiconductor device and method for fabricating the same.
  109. Morikado,Mutsuo, Fin semiconductor device and method for fabricating the same.
  110. You, Jung-Gun; Park, Young-Joon; Ha, Ji-Yong, Fin semiconductor device including dummy gate on isolation layer.
  111. Fujiwara,Makoto; Ishimaru,Kazunari; Hokazono,Akira, Fin-shaped semiconductor device.
  112. Nowak, Edward J., Fin-type field effect transistor.
  113. Nowak, Edward J., Fin-type field effect transistor.
  114. Nowak, Edward J., Fin-type field effect transistor.
  115. Nowak,Edward J., Fin-type field effect transistor.
  116. Anderson, Brent A.; Bryant, Andres; Ellis-Monaghan, John J.; Nowak, Edward J., Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure.
  117. Fried, David M.; Nowak, Edward J., Fin-type resistors.
  118. Fried,David M.; Nowak,Edward J., Fin-type resistors.
  119. Breitwisch,Matthew; Nowak,Edward J., FinFET SRAM cell using inverted FinFET thin film transistors.
  120. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., FinFET SRAM cell with chevron FinFET logic.
  121. Zhu, Huilong; He, Wei; Liang, Qingqing; Yin, Haizhou; Luo, Zhijiong, FinFET and method for manufacturing the same.
  122. Zhu, Huilong; Liang, Qingqing, FinFET and method of manufacturing the same.
  123. Donze, Richard Lee; Erickson, Karl Robert; Hovis, William Paul; Kueper, Terrance Wayne; Sheets, II, John Edward; Tetzloff, Jon Robert, FinFET body contact structure.
  124. Donze,Richard Lee; Erickson,Karl Robert; Hovis,William Paul; Kueper,Terrance Wayne; Sheets, II,John Edward; Tetzloff,Jon Robert, FinFET body contact structure.
  125. Chen, Hao-Yu; Chang, Chang-Yun; Huang, Cheng-Chuan; Yang, Fu-Liang, FinFET device for device characterization.
  126. Lin, Ming-Ren; Goo, Jung-Suk; Wang, Haihong; Xiang, Qi, FinFET device incorporating strained silicon in the channel region.
  127. Schulz, Thomas, FinFET device with gate electrode and spacers.
  128. Loubet, Nicolas; Khare, Prasanna, FinFET device with isolated channel.
  129. Buynoski,Matthew S.; An,Judy Xilin; Yu,Bin, FinFET device with multiple channels.
  130. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, FinFET device with multiple fin structures.
  131. Lin, Ming-Ren; Wang, Haihong; Yu, Bin, FinFET device with multiple fin structures.
  132. Mouli,Chandra V., FinFET device with reduced DIBL.
  133. Mouli,Chandra V., FinFET device with reduced DIBL.
  134. Chen, Hao-Yu; Chang, Chang-Yun; Huang, Cheng-Chuan; Yang, Fu-Liang, FinFET for device characterization.
  135. Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E., FinFET gate formation using reverse trim and oxide polish.
  136. Liu, Qing, FinFET having a non-uniform fin.
  137. Nowak,Edward J.; Rainey,BethAnn, FinFET having suppressed parasitic device characteristics.
  138. Fried, David M.; Leipold, William C.; Nowak, Edward J., FinFET layout generation.
  139. Rodder, Mark S.; Obradovic, Borna J.; Bowen, Robert C., FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same.
  140. Basker, Veeraraghavan S.; Cheng, Kangguo; Doris, Bruce B.; Faltermeier, Johnathan E., FinFET spacer formation by oriented implantation.
  141. Basker, Veeraraghavan S.; Cheng, Kangguo; Doris, Bruce B.; Faltermeier, Johnathan E., FinFET spacer formation by oriented implantation.
  142. Lee,Di Hong; Tsao,Hsun Chih; Chen,Kuang Hsin; Chen,Hung Wei, FinFET split gate EEPROM structure and method of its fabrication.
  143. Cheng,Zhiyuan; Fitzgerald,Eugene A.; Antoniadis,Dimitri, FinFET structure and method to make the same.
  144. Bernstein, Kerry; Nowak, Edward J.; Rainey, BethAnn, FinFET transistor and circuit.
  145. Bernstein,Kerry; Nowak,Edward J.; Rainey,BethAnn, FinFET transistor and circuit.
  146. Bernstein,Kerry; Nowak,Edward J.; Rainey,BethAnn, FinFET transistor and circuit.
  147. Zhu, Huilong, FinFET with improved short channel effect and reduced parasitic capacitance.
  148. Balakrishnan, Karthik; Cheng, Kangguo; Hashemi, Pouya; Reznicek, Alexander, FinFET with stacked faceted S/D epitaxy for improved contact resistance.
  149. Balakrishnan, Karthik; Cheng, Kangguo; Hashemi, Pouya; Reznicek, Alexander, FinFET with stacked faceted S/D epitaxy for improved contact resistance.
  150. Anderson,Brent A.; Bernstein,Kerry; Nowak,Edward J., FinFETs with long gate length at high density.
  151. Manger,Dirk, FinFet device and method of fabrication.
  152. Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Tabery, Cyrus E., Finfet gate formation using reverse trim of dummy gate.
  153. Goarin, Pierre, Finfet-based non-volatile memory device.
  154. Anderson,Brent A.; Bryant,Andres; Nowak,Edward J., Finfet/trigate stress-memorization method.
  155. Lee,Deok Hyung; Lee,Byeong Chan; Choi,Si Young; Jung,In Soo, Finfets having first and second gates of different resistivities.
  156. Zhu, Huilong; Yin, Haizhou; Luo, Zhijiong, Flash memory device and method for manufacturing the same.
  157. Lin, Ming-Ren; Maszara, Witold P.; Wang, Haihong; Yu, Bin, Fully silicided gate structure for FinFET devices.
  158. Loubet, Nicolas; Khare, Prasanna, Fully substrate-isolated FinFET transistor.
  159. Loubet, Nicolas; Khare, Prasanna, Fully substrate-isolated FinFET transistor.
  160. Loubet, Nicolas; Khare, Prasanna, Fully substrate-isolated FinFET transistor.
  161. Seliskar,John J., Fully-depleted castellated gate MOSFET device and method of manufacture thereof.
  162. Seliskar,John J., Fully-depleted castellated gate MOSFET device and method of manufacture thereof.
  163. Chaudhary,Nirmal; Schulz,Thomas; Xiong,Weize; Huffman,Craig, Gate electrode for FinFET device.
  164. Yeo, Yee Chia; Chen, Hao Yu; Yang, Fu Liang; Hu, Chenming, Gate electrode for a semiconductor fin device.
  165. Yeo,Yee Chia; Chen,Hao Yu; Yang,Fu Liang; Hu,Chenming, Gate electrode for a semiconductor fin device.
  166. Chen, Neng-Kuo; Wann, Clement Hsingjen; Lin, Yi-An; Chang, Chun-Wei; Sun, Sey-Ping, Gate electrode of field effect transistor.
  167. An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Germanium MOSFET devices and methods for making same.
  168. An,Judy Xilin; Krivokapic,Zoran; Wang,Haihong; Yu,Bin, Germanium MOSFET devices and methods for making same.
  169. Cohen, Guy M.; Dimitrakopoulos, Christos D.; Grill, Alfred, Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates.
  170. Cohen, Guy M.; Dimitrakopoulos, Christos D.; Grill, Alfred, Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates.
  171. Cohen, Guy; Dimitrakopoulos, Christos D.; Grill, Alfred, Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates.
  172. Cohen, Guy; Dimitrakopoulos, Christos D.; Grill, Alfred, Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates.
  173. Cohen, Guy; Dimitrakopoulos, Christos D.; Grill, Alfred; Wisnieff, Robert L., Graphene nanoribbons, method of fabrication and their use in electronic devices.
  174. Forbes, Leonard, Grown nanofin transistors.
  175. Marino, Fabio Alessio; Menegoli, Paolo, High mobility enhancement mode FET.
  176. Anderson,Brent A.; Nowak,Edward J., High mobility plane FinFET with equal drive strength.
  177. Anderson,Brent A.; Nowak,Edward J., High mobility plane FinFETs with equal drive strength.
  178. Shaheen,Mohamad A.; Doyle,Brian; Dutta,Suman; Chau,Robert S.; Tolchinsky,Peter, High mobility tri-gate devices and methods of fabrication.
  179. Marino, Fabio Alessio; Menegoli, Paolo, High performance multigate transistor.
  180. Bedell, Stephen W.; Chan, Kevin K.; Chidambarrao, Dureseti; Christianson, Silke H.; Chu, Jack O.; Domenicucci, Anthony G.; Lee, Kam-Leung; Mocuta, Anda C.; Ott, John A.; Ouyang, Qiqing C., High performance strained silicon FinFETs device and method for forming same.
  181. Nowak, Edward J., High performance tapered varactor.
  182. Nowak, Edward J., High performance tapered varactor.
  183. Nowak,Edward J., High-density FinFET integration scheme.
  184. Hofmann,Franz; Landgraf,Erhard; Luyken,Richard Johannes; Roesner,Wolfgang; Specht,Michael, High-density NROM-FINFET.
  185. Nowak, Edward J., High-density finFET integration scheme.
  186. Nowak, Edward J.; Rainey, BethAnn, High-density split-gate FinFET.
  187. Nowak, Edward J.; Rainey, BethAnn, High-density split-gate FinFET.
  188. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  189. Lieber, Charles M.; Gao, Xuan; Zheng, Gengfeng, High-sensitivity nanoscale wire sensors.
  190. Doris,Bruce B.; Boyd,Diane C.; Leong,Meikei; Kanarsky,Thomas S.; Kedzierski,Jakub T.; Yang,Min, Hybrid planar and FinFET CMOS devices.
  191. Doris, Bruce B.; Boyd, Diane C.; Ieong, Meikei; Kanarsky, Thomas S.; Kedzierski, Jakub T.; Yang, Min, Hybrid planar and finFET CMOS devices.
  192. Sharma, Gian, Hybrid trench isolation technology for high voltage isolation using thin field oxide in a semiconductor process.
  193. Mathew,Leo; Fossum,Jerry G., Hybrid-FET and its application as SRAM.
  194. Lee, Dong Seup; Palacios, Tomas Apostol, Improving linearity in semiconductor devices.
  195. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  196. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  197. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  198. Chang,Peter L. D.; Doyle,Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  199. Doyle,Brian S.; Chang,Peter L. D., Independently accessed double-gate and tri-gate transistors in same process flow.
  200. Rankin,Jed H.; Abadeer,Wagdi W.; Brown,Jeffrey S.; Tonti,William R., Integrated antifuse structure for FINFET and CMOS devices.
  201. Holz, Juergen; Kakoschke, Ronald; Nirschl, Thomas; Pacha, Christian; Schruefer, Klaus; Schulz, Thomas; Schmitt-Landsiedel, Doris, Integrated circuit arrangement comprising a field effect transistor, especially a tunnel field effect transistor.
  202. Holz, Juergen; Kakoschke, Ronald; Nirschl, Thomas; Pacha, Christian; Schruefer, Klaus; Schulz, Thomas; Schmitt-Landsiedel, Doris, Integrated circuit arrangement comprising a field effect transistor, especially a tunnel field effect transistor.
  203. Brederlow,Ralf; Hartwich,Jessica; Pacha,Christian; R철sner,Wolfgang; Schulz,Thomas, Integrated circuit arrangement with capacitor.
  204. Brederlow, Ralf; Hartwich, Jessica; Pacha, Christian; Rösner, Wolfgang; Schulz, Thomas, Integrated circuit arrangement with capacitor and fabrication method.
  205. Brederlow, Ralf; Hartwich, Jessica; Pacha, Christian; Rösner, Wolfgang; Schulz, Thomas, Integrated circuit arrangement with capacitor and fabrication method.
  206. Mathew, Leo; Muralidhar, Ramachandran, Integrated circuit having multiple memory types and method of formation.
  207. Bryant, Andres; Clark, Jr., William F.; Fried, David M.; Jaffe, Mark D.; Nowak, Edward J.; Pekarik, John J.; Putnam, Christopher S., Integrated circuit having pairs of parallel complementary FinFETs.
  208. Bryant, Andres; Clark, Jr., William F.; Fried, David M.; Jaffe, Mark D.; Nowak, Edward J.; Pekarik, John J.; Putnam, Christopher S., Integrated circuit having pairs of parallel complementary FinFETs.
  209. Schulz, Thomas, Integrated circuit including non-planar structure and waveguide.
  210. Schulz, Thomas, Integrated circuit including non-planar structure and waveguide.
  211. Booth, Jr., Roger A.; Cheng, Kangguo; Furukawa, Toshiharu; Pei, Chengwen, Integrated circuit with finFETs and MIM fin capacitor.
  212. Chang, Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  213. Chang,Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  214. Ando, Yoshiyuki, Ion implantation methods and transistor cell layout for fin type transistors.
  215. Voldman,Steven H.; Mandelman,Jack A., Lateral lubistor structure and method.
  216. Datta,Suman; Brask,Justin K.; Kavalieros,Jack; Doyle,Brian S.; Dewey,Gilbert; Doczy,Mark L.; Chau,Robert S., Lateral undercut of metal gate in SOI device.
  217. Lojek,Bohumil, Lithography-independent fabrication of small openings for forming vertical mos transistor.
  218. Beintner,Jochen C., Locally thinned fins.
  219. Hsu, Tzu-Hsuan; Lue, Hang-Ting, Memory cell.
  220. Huang, Li-Ping, Memory circuit with thyristor.
  221. Mathew, Leo; Steimle, Robert F.; Muralidhar, Ramachandran, Memory with charge storage locations and adjacent gate structures.
  222. Kim,Sung Min; Kim,Dong Won; Yun,Eun Jung; Park,Dong Gun; Lee,Sung Young; Choe,Jeong Dong; Lee,Shin Ae; Cho,Hye Jin, Metal oxide semiconductor (MOS) transistors having three dimensional channels.
  223. Kuo, Charles C.; Liu, Tsu-Jae King, Method and apparatus for capacitorless double-gate storage.
  224. Datta, Suman; Doyle, Brian S.; Chau, Robert S.; Kavalieros, Jack; Zheng, Bo; Hareland, Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  225. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  226. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  227. Nunan,Peter D.; Lopatin,Sergey D., Method and apparatus for transistor sidewall salicidation.
  228. Aller,Ingo; Gernhoefer,Veit; Keinert,Joachim; Ludwig,Thomas, Method and device for automated layer generation for double-gate FinFET designs.
  229. Liu,Sheng Da; Chen,Hung Wei; Chang,Chang Yun; Xuan,Zhong Tang; Hsu,Ju Wang, Method and structure for a 1T-RAM bit cell and macro.
  230. Cheng, Kangguo; Hashemi, Pouya; Khakifirooz, Ali; Reznicek, Alexander, Method and structure of stacked FinFET.
  231. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Method and structure to create multiple device widths in FinFET technology in both bulk and SOI.
  232. Hsu, Sheng Teng, Method for adjusting ultra-thin SOI MOS transistor threshold voltages.
  233. Cheng, Kangguo; Doris, Bruce B.; Furukawa, Toshiharu, Method for double pattern density.
  234. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  235. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  236. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  237. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  238. Yu, Bin; An, Judy Xilin; Dakshina-Murthy, Srikanteswara, Method for forming a gate in a FinFET device.
  239. Yu, Bin; Wang, Haihong, Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device.
  240. Mathew,Leo; Ge,Lixin; Veeraraghavan,Surya, Method for forming a semiconductor-on-insulator (SOI) body-contacted device with a portion of drain region removed.
  241. Sharma, Gian, Method for forming a sublithographic opening in a semiconductor process.
  242. Taylor, Jr., William J.; Adetutu, Olubunmi O.; Anderson, Steven G. H., Method for forming an electronic structure using etch.
  243. Buynoski, Matthew S.; An, Judy Xilin; Yu, Bin, Method for forming channels in a finfet device.
  244. Buynoski, Matthew S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yang, Chih-Yuh; Yu, Bin, Method for forming fins in a FinFET device using sacrificial carbon layer.
  245. Oh, Chang Woo; Park, Dong Gun; Kim, Dong Won; Lee, Yong Kyu, Method for forming multi-gate non-volatile memory devices using a damascene process.
  246. Forbes, Leonard, Method for forming nanofin transistors.
  247. Cho, Young Man, Method for forming semiconductor device.
  248. Lin, Ming-Ren; Wang, Haihong; Yu, Bin, Method for forming structures in finfet devices.
  249. Zhu, Huilong, Method for manufacturing FinFET with improved short channel effect and reduced parasitic capacitance.
  250. Liu, Jinbiao; Wang, Yao; Wang, Guilei; Yang, Tao; Liu, Qing; Li, Junfeng, Method for manufacturing a FinFET device.
  251. Ren, Zhibin; Wang, Xinhui; Chan, Kevin K.; Zhang, Ying, Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions.
  252. Yin, Huaxiang; Xu, Qiuxia; Chen, Dapeng, Method for manufacturing multigate device.
  253. Ernst, Thomas; Landis, Stéfan, Method for the fabrication of a transistor gate using at least one electron beam.
  254. Ponomarev, Youri; Loo, Josine, Method for the manufacture of a semiconductor device and a semiconductor device obtained through it.
  255. You, Junggun; Jeong, Sukhoon, Method of fabricating FinFET structure.
  256. You, Junggun; Jeong, Sukhoon, Method of fabricating FinFET structure.
  257. Lee,Byeong Ryeol, Method of fabricating a fin field effect transistor.
  258. Shah,Uday; Doyle,Brian S.; Brask,Justin K.; Chau,Robert S., Method of fabricating a multi-cornered film.
  259. Kim,Sungmin; Li,Ming; Yoon,Eungjung, Method of fabricating field effect transistor (FET) having wire channels.
  260. Kang, Tae Woong; Ahn, Jong hyon, Method of fabricating multi-gate transistor and multi-gate transistor fabricated thereby.
  261. Han, Sang-In; Eisenbeiser, Kurt W.; Lu, Bing, Method of fabricating three dimensional gate structure using oxygen diffusion.
  262. Chang, Cheng-Hung; Yu, Chen-Hua; Yeh, Chen-Nan; Fu, Chu-Yun; Hsu, Yu-Rang; Chen, Ding-Yuan, Method of fabrication of a FinFET element.
  263. Yeo,Yee Chia; Hu,Chenming, Method of forming a capacitor that includes forming a bottom electrode in a strained silicon layer.
  264. Cheng,Zhiyuan; Fitzgerald,Eugene A.; Antoniadis,Dimitri, Method of forming a digitalized semiconductor structure.
  265. Loubet, Nicolas; Khare, Prasanna, Method of forming a fully substrate-isolated FinFET transistor.
  266. Brask,Justin K.; Doyle,Brian S.; Kavalleros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Method of forming a metal oxide dielectric.
  267. Mathew,Leo; Sing,David C.; Kolagunta,Venkat, Method of forming a semiconductor device with decreased undercutting of semiconductor material.
  268. Orlowski, Marius K.; Mathew, Leo, Method of forming a transistor having multiple channels.
  269. Wu,Chung Cheng; Wu,Shye Lin, Method of forming an N channel and P channel finfet device on the same semiconductor substrate.
  270. Doyle, Brian S.; Murthy, Anand S.; Chau, Robert S., Method of forming an element of a microelectronic circuit.
  271. Orlowski, Marius; Wild, Andreas, Method of forming an inverted T shaped channel structure for an inverted T channel field effect transistor device.
  272. Orlowski, Marius; Wild, Andreas, Method of forming an inverted T shaped channel structure for an inverted T channel field effect transistor device.
  273. Lee, Yong Meng; Jin, Da; Lai, Mau Lam; Vigar, David; Chwa, Siow Lee, Method of forming double-gate semiconductor-on-insulator (SOI) transistors.
  274. Lee, Yong Meng; Jin, Da; Vigar, David, Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding.
  275. Lee, Yong Meng; Jin, Da; Vigar, David, Method of forming double-gated silicon-on-insulator (SOI) transistors with reduced gate to source-drain overlap capacitance.
  276. Hou,Chuan Ping; Lu,Jhi Cherng; Chen,Kuang Hsin; Tsao,Hsun Chih, Method of forming field effect transistor and structure formed thereby.
  277. Brask, Justin K.; Doyle, Brian S.; Kavalieros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Method of forming metal gate electrodes using sacrificial gate electrode material and sacrificial gate dielectric material.
  278. Chen, Neng-Kuo; Wann, Clement Hsingjen; Lin, Yi-An; Chang, Chun-Wei; Sun, Sey-Ping, Method of forming the gate electrode of field effect transistor.
  279. Doyle,Brian S.; Datta,Suman; Kavalieros,Jack T.; Majumdar,Amlan, Method of ion implanting for tri-gate devices.
  280. Nowak,Edward J.; Rainey,BethAnn, Method of making a finFET having suppressed parasitic device characteristics.
  281. Mathew, Leo; Mora, Rode R., Method of making an inverted-T channel transistor.
  282. Zhu, Huilong; Doris, Bruce B.; Wang, Xinlin; Beintner, Jochen; Zhang, Ying; Oldiges, Philip J., Method of making double-gated self-aligned finFET having gates of different lengths.
  283. Chidambarrao,Dureseti; Dokumaci,Omer, Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby.
  284. Zhu,Huilong; Dyer,Thomas W.; Mandelman,Jack A.; Rausch,Werner, Method of manufacturing a body-contacted finfet.
  285. Kim, Min-Sang; Lee, Sung-Young; Kim, Sung-Min; Yun, Eun-Jung; Choi, In-Hyuk, Method of manufacturing a semiconductor device having a multi-channel type MOS transistor.
  286. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Method of manufacturing a semiconductor device including a gate electrode on a protruding group III-V material layer.
  287. Yin, Huaxiang; Xianyu, Wenxu; Noguchi, Takashi; Cho, Hans S.; Jung, Ji sim, Method of manufacturing a thin film transistor.
  288. Yeo,Yee Chia; Hu,Chenming, Method of manufacturing an integrated circuit including capacitor with high permittivity capacitor dielectric.
  289. Goldbach, Matthias; Hartwich, Jessica; Dreeskornfeld, Lars; Scholz, Arnd; Mono, Tobias, Method of manufacturing integrated circuits including a FET with a gate spacer and a fin.
  290. Cho,Young Kyun; Kwon,Sung Ku; Roh,Tae Moon; Lee,Dae Woo; Kim,Jong Dae, Method of manufacturing multiple-gate MOS transistor having an improved channel structure.
  291. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  292. Quek, Elgin; Zheng, Jia Zhen; Yelehanka, Pradeep R.; Li, Weining, Method using quasi-planar double gated fin field effect transistor process for the fabrication of a thyristor-based static read/write random-access memory.
  293. Wu, Zhiyuan; Cheng, Ning; Pass, Christopher J., Methods and apparatuses for optimizing power and functionality in transistors.
  294. Yang, Fu-Liang; Yeo, Yee-Chia; Hu, Chenming, Methods and structures for planar and multiple-gate transistors formed on SOI.
  295. Yang,Fu Liang; Yeo,Yee Chia; Hu,Chenming, Methods and structures for planar and multiple-gate transistors formed on SOI.
  296. Lee,Deok Hyung; Choi,Si Young; Lee,Byeong Chan; Son,Yong Hoon; Jung,In Soo, Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage.
  297. Zhu, Ji; Grunes, Jeff; Choi, Yang-Kyu; Bokor, Jeffrey; Somorjai, Gabor, Methods for fabrication of positional and compositionally controlled nanostructures on substrate.
  298. Furukawa, Toshiharu; Hakey, Mark Charles; Horak, David Vaclav; Koburger, III, Charles William; Mitchell, Peter H., Methods for forming a wrap-around gate field effect transistor.
  299. Furukawa,Toshiharu; Hakey,Mark Charles; Horak,David Vaclav; Koburger, III,Charles William; Mitchell,Peter H., Methods for forming a wrap-around gate field effect transistor.
  300. Chang,Peter L. D., Methods for forming semiconductor wires and resulting devices.
  301. Chang,Peter L. D., Methods for forming semiconductor wires and resulting devices.
  302. Zhu,Huilong; Doris,Bruce B., Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom.
  303. Zhu, Huilong; Doris, Bruce B., Methods for manufacturing a finfet using a conventional wafer and apparatus manufactured therefrom.
  304. Liu, Bin; Kim, Sungmin, Methods for manufacturing semiconductor devices having different threshold voltages.
  305. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  306. Kim, Sung Min; Park, Dong Gun; Lee, Chang Sub; Choe, Jeong Dong; Lee, Shin Ae; Kim, Seong Ho, Methods of fabricating field effect transistors having multiple stacked channels.
  307. Kim,Sung Min; Park,Dong Gun; Lee,Chang Sub; Choe,Jeong Dong; Lee,Shin Ae; Kim,Seong Ho, Methods of fabricating field effect transistors having multiple stacked channels.
  308. Pham, Daniel T.; Miller, Robert J.; Maitra, Kungsuk, Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same.
  309. Kim,Sung Min; Kim,Dong Won; Yun,Eun Jung; Park,Dong Gun; Lee,Sung Young; Choe,Jeong Dong; Lee,Shin Ae; Cho,Hye Jin, Methods of forming metal oxide semiconductor (MOS) transistors having three dimensional channels.
  310. Lee,Sung Young; Kim,Sung Min; Park,Dong Gun; Oh,Chang Woo; Yun,Eun Jung, Methods of forming semiconductor devices having multiple channel MOS transistors.
  311. Lee, Sung-Young; Kim, Sung-Min; Park, Dong-Gun; Oh, Chang-Woo; Yun, Eun-Jung, Methods of forming semiconductor devices having multiple channel MOS transistors and related intermediate structures.
  312. Knoblinger, Gerhard, MuGFET switch.
  313. Park, Yoon-Dong; Kim, Won-Joo, Multi-bit non-volatile memory devices and methods of fabricating the same.
  314. Orlowski, Marius, Multi-channel transistor structure and method of making thereof.
  315. Orlowski,Marius K., Multi-channel transistor structure and method of making thereof.
  316. Zhang,Yuegang; Doyle,Brian S.; Bourianoff,George I., Multi-gate carbon nano-tube transistors.
  317. Jin,You Seung, Multi-gate transistor formed with active patterns of uniform critical dimension.
  318. Aller, Ingo; Keinert, Joachim; Ludwig, Thomas; Nowak, Edward J.; Rainey, BethAnn, Multi-height FinFETS.
  319. Lee,Deok Hyung; Lee,Byeong Chan; Jung,In Soo; Son,Yong Hoon; Choi,Siyoung; Kim,Taek Jung, Multi-structured Si-fin.
  320. Lee, Deok Hyung; Lee, Byeong Chan; Jung, In Soo; Son, Yong Hoon; Choi, Siyoung; Kim, Taek Jung, Multi-structured Si-fin and method of manufacture.
  321. Kavalieros, Jack T.; Brask, Justin K.; Datta, Suman; Doyle, Brian S.; Chau, Robert S., Multigate device with recessed strain regions.
  322. Min, Byoung L.; Burnett, James D.; Mathew, Leo, Multiple device types including an inverted-T channel transistor and method therefor.
  323. Min,Byoung W.; Burnett,James D.; Mathew,Leo, Multiple device types including an inverted-T channel transistor and method therefor.
  324. Clark, Jr.,William F.; Nowak,Edward J., Multiple dielectric FinFET structure and method.
  325. Clark, Jr.,William F.; Nowak,Edward J., Multiple dielectric FinFET structure and method.
  326. Clark, Jr.,William F.; Nowak, Jr.,Edward J., Multiple dielectric finfet structure and method.
  327. Beyer, Sven; Press, Patrick; Giedigkeit, Rainer; Hoentschel, Jan, Multiple gate transistor having homogenously silicided fin end portions.
  328. Cho,Young Kyun; Kwon,Sung Ku; Roh,Tae Moon; Lee,Dae Woo; Kim,Jong Dae, Multiple-gate MOS transistor and a method of manufacturing the same.
  329. Cho, Young Kyun; Roh, Tae Moon; Kim, Jong Dae, Multiple-gate MOS transistor using Si substrate and method of manufacturing the same.
  330. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang, Multiple-gate transistor structure.
  331. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Multiple-gate transistors formed on bulk substrates.
  332. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Multiple-gate transistors formed on bulk substrates.
  333. Moroz, Victor, N-channel and P-channel end-to-end finFET cell architecture.
  334. Forbes, Leonard, Nanofin transistors with crystalline semiconductor fins.
  335. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  336. Lieber, Charles M.; Fang, Ying; Patolsky, Fernando, Nanosensors and related technologies.
  337. Ota, Kensuke; Saitoh, Masumi; Numata, Toshinori, Nanowire channel field effect device and method for manufacturing the same.
  338. Forbes, Leonard, Nanowire transistor with surrounding gate.
  339. Forbes, Leonard, Nanowire transistor with surrounding gate.
  340. Forbes, Leonard, Nanowire transistor with surrounding gate.
  341. Forbes,Leonard, Nanowire transistor with surrounding gate.
  342. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Narrow-body damascene tri-gate FinFET.
  343. Doyle, Brian S.; Datta, Suman; Jin, Been Yih; Chau, Robert, Non-planar MOS structure with a strained channel region.
  344. Doyle,Brian S.; Datta,Suman; Jin,Been Yih; Chau,Robert, Non-planar MOS structure with a strained channel region.
  345. Brask,Justin K.; Kavalieros,Jack T.; Doyle,Brian S.; Chau,Robert S., Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same.
  346. Doyle,Brian S; Datta,Suman; Jin,Been Yih; Zelick,Nancy M; Chau,Robert, Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow.
  347. Yang, Jeong hwan, Non-planar transistor having germanium channel region and method of manufacturing the same.
  348. Yang,Jeong hwan, Non-planar transistor having germanium channel region and method of manufacturing the same.
  349. Zhu, Huilong; Yin, Haizhou; Luo, Zhijiong, Non-volatile memory device using finfet and method for manufacturing the same.
  350. Hong,Seung Wan, Non-volatile semiconductor memory devices using prominences and trenches.
  351. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  352. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Datta,Suman; Jin,Been Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  353. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  354. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  355. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  356. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  357. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  358. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  359. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  360. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  361. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  362. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  363. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  364. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  365. Brask,Justin K.; Doyle,Brian S.; Doczy,Mark L.; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  366. Brask,Justin K.; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  367. Rachmady, Willy; Kavalieros, Jack, Notched-base spacer profile for non-planar transistors.
  368. Beintner, Jochen C., Oxide/nitride stacked in FinFET spacer process.
  369. Lee, Hyo-san; Ko, Hung-ho; Hong, Chang-ki; Choi, Sang-jun, PAA-based etchant, methods of using same, and resultant structures.
  370. Lee,Hyo san; Ko,Hyung ho; Hong,Chang ki; Choi,Sang jun, PAA-based etchant, methods of using same, and resultant structures.
  371. Park, Jin-Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  372. Park,Jin Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  373. Park,Jin Jun, Phosphorous doping methods of manufacturing field effect transistors having multiple stacked channels.
  374. Cheng,Kangguo; Li,Xi; Wise,Richard S., Process for finFET spacer formation.
  375. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  376. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  377. Skotnicki,Thomas; Chanemougame,Daniel; Monfray,Stephane, Process for producing a field-effect transistor and transistor thus obtained.
  378. Ernst, Thomas; Isheden, Christian, Production of a transistor gate on a multibranch channel structure and means for isolating this gate from the source and drain regions.
  379. Riley,Deborah J.; Trentman,Brian M.; Kirkpatrick,Brian K., Protection of silicon from phosphoric acid using thick chemical oxide.
  380. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., Replacement-gate FinFET structure and process.
  381. Yeo,Yee Chia; Hu,Chenming, Resistor with reduced leakage.
  382. Mouli, Chandra V., SOI device with reduced drain induced barrier lowering.
  383. Huo,Zong Liang; Baik,Seung Jae; Yeo,In Seok; Yoon,Hong Sik; Kim,Shi Eun, SRAM cells having inverters and access transistors therein with vertical fin-shaped active regions.
  384. Weybright, Mary E.; Wong, Robert C., SRAM design to facilitate single fin cut in double sidewall image transfer process.
  385. Ahmed,Shibly S.; Yu,Bin, Sacrificial oxide for minimizing box undercut in damascene FinFET.
  386. Lee, Jong-Ho, Saddle type MOS device.
  387. Lee,Jong Ho, Saddle type flash memory device and fabrication method thereof.
  388. Rachmady, Willy; Shah, Uday; Kavalieros, Jack T.; Doyle, Brian S., Selective anisotropic wet etching of workfunction metal for semiconductor devices.
  389. Yang,Fu Liang; Yeo,Yee Chia; Tseng,Horng Huei; Hu,Chenming, Self-aligned contact for silicon-on-insulator devices.
  390. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  391. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  392. Lojek,Bohumil, Self-aligned nanometer-level transistor defined without lithography.
  393. Beintner, Jochen C.; Nowak, Edward J., Selfaligned source/drain FinFET process flow.
  394. Kim, Dong Sun, Semiconductor device.
  395. Mizumura, Akira; Ammo, Hiroaki; Oishi, Tetsuya, Semiconductor device.
  396. Ban, Sang-Hyun, Semiconductor device and manufacturing method thereof.
  397. Ban,Sang Hyun, Semiconductor device and manufacturing method thereof.
  398. Takeda, Koichi; Nomura, Masahiro; Takeuchi, Kiyoshi; Wakabayashi, Hitoshi; Yamagami, Shigeharu; Koh, Risho; Terashima, Koichi; Tanaka, Katsuhiko; Tanaka, Masayasu, Semiconductor device and manufacturing method thereof.
  399. Iwanaga, Junko; Takagi, Takeshi; Kanzawa, Yoshihiko; Sorada, Haruyuki; Saitoh, Tohru; Kawashima, Takahiro, Semiconductor device and method for fabricating the same.
  400. Zhu, Huilong, Semiconductor device and method for forming the same.
  401. Zhu, Huilong; Liang, Qingqing; Luo, Zhijiong; Yin, Haizhou, Semiconductor device and method for forming the same.
  402. Fujimoto, Hiroyuki, Semiconductor device and method for manufacturing the same.
  403. Zhu, Huilong; Luo, Zhijiong; Yin, Haizhou, Semiconductor device and method for manufacturing the same.
  404. Yagishita,Atsushi, Semiconductor device and method of fabricating the same.
  405. Isobe, Atsuo; Yamazaki, Shunpei; Kokubo, Chiho; Tanaka, Koichiro; Shimomura, Akihisa; Arao, Tatsuya; Miyairi, Hidekazu, Semiconductor device and method of manufacturing the same.
  406. Isobe, Atsuo; Yamazaki, Shunpei; Kokubo, Chiho; Tanaka, Koichiro; Shimomura, Akihisa; Arao, Tatsuya; Miyairi, Hidekazu; Akiba, Mai, Semiconductor device and method of manufacturing the same.
  407. Kang, Hee Soo; Yoon, Jae Man; Park, Dong Gun; Han, Sang Yeon; Ahn, Young Joon; Lee, Choong Ho, Semiconductor device and method of manufacturing the same.
  408. Kang,Hee Soo; Yoon,Jae Man; Park,Dong Gun; Han,Sang Yeon; Ahn,Young Joon; Lee,Choong Ho, Semiconductor device and method of manufacturing the same.
  409. Basker, Veeraraghavan S.; Khakifirooz, Ali; Kerber, Pranita; Reznicek, Alexander, Semiconductor device having SSOI substrate with relaxed tensile stress.
  410. Yoon, Jae Man; Park, Dong Gun; Lee, Choong Ho; Lee, Chul, Semiconductor device having a channel pattern and method of manufacturing the same.
  411. Kim, Min-Sang; Lee, Sung-Young; Kim, Sung-Min; Yun, Eun-Jung; Choi, In-Hyuk, Semiconductor device having a multi-channel type MOS transistor.
  412. Sell, Bernhard, Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width.
  413. Sell, Bernhard, Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width.
  414. Kujirai, Hiroshi, Semiconductor device having a trench-gate transistor.
  415. Anderson, Brent A.; Nowak, Edward J.; Rainey, BethAnn, Semiconductor device having freestanding semiconductor layer.
  416. Kim, Dae Sik, Semiconductor device having multi-channel and method of fabricating the same.
  417. Kim, Dae Sik, Semiconductor device having multi-channel and method of fabricating the same.
  418. Choi, Jung-a; Yang, Jeong-hawan; Jin, You-scung, Semiconductor device having multi-gate structure and method of manufacturing the same.
  419. Kokubo, Chiho; Shiga, Aiko; Yamazaki, Shunpei; Miyairi, Hidekazu; Dairiki, Koji; Tanaka, Koichiro, Semiconductor device having multichannel transistor.
  420. Yu, Chen Hua; Yeh, Chen Nan; Hsu, Yu Rung, Semiconductor device having multiple fin heights.
  421. Yu, Chen-Hua; Yeh, Chen-Nan; Hsu, Yu-Rung, Semiconductor device having multiple fin heights.
  422. Yu, Chen-Hua; Yeh, Chen-Nan; Hsu, Yu-Rung, Semiconductor device having multiple fin heights.
  423. Kujirai, Hiroshi, Semiconductor device having trench-gate transistor with parallel channel regions in gate trench.
  424. Kondo,Masaki, Semiconductor device including FinFET having vertical double gate structure and method of fabricating the same.
  425. Hamazawa, Yasushi, Semiconductor device including a channel region and method for manufacturing the semiconductor device.
  426. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer.
  427. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  428. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  429. Cho, Young-jin; Kim, Kyoung-yeon; Lee, Sang-moon; Hong, Ki-ha; Hwang, Eui-chul, Semiconductor device including a gate electrode on a protruding group III-V material layer and method of manufacturing the semiconductor device.
  430. Kim,Sungmin; Park,Donggun; Yoon,Eunjung; Jang,Semyeong; Kim,Keunnam; Oh,Yongchul, Semiconductor device including a multi-channel fin field effect transistor including protruding active portions and method of fabricating the same.
  431. Kobayashi, Yasutaka, Semiconductor device manufacturing method and semiconductor device using the same.
  432. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  433. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  434. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  435. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  436. Zhu, Huilong, Semiconductor devices and methods for manufacturing the same.
  437. You, Jung-Gun; Sung, Sug-Hyun; Park, Se-Wan, Semiconductor devices and methods of fabricating the same.
  438. Yun, Eun-Jung; Cho, Hye-Jin; Kim, Dong-Won; Kim, Sung-Min, Semiconductor devices having field effect transistors.
  439. Yun,Eun Jung; Cho,Hye Jin; Kim,Dong Won; Kim,Sung Min, Semiconductor devices having field effect transistors.
  440. Karda, Kamal M.; Li, Mingtao; Liu, Haitao; Pandey, Deepak Chandra; Fischer, Mark, Semiconductor devices including a recessed access device and methods of forming same.
  441. Oh, Chang-woo; Park, Dong-gun; Kim, Dong-won; Suk, Sung-dae, Semiconductor devices including channel and junction regions of different semiconductor materials.
  442. Oh, Chang-woo; Park, Dong-gun; Kim, Dong-won; Suk, Sung-dae, Semiconductor devices including fin shaped semiconductor regions and stress inducing layers.
  443. Oh,Chang woo; Park,Dong gun; Kim,Dong won; Suk,Sung dae, Semiconductor devices including stress inducing layers.
  444. Isobe, Atsuo; Godo, Hiromichi, Semiconductor element, method for manufacturing the semiconductor element, and semiconductor device including the semiconductor element.
  445. Isobe, Atsuo; Godo, Hiromichi, Semiconductor element, method for manufacturing the semiconductor element, and semiconductor device including the semiconductor element.
  446. Mathew, Leo; Muralidhar, Ramachandran, Semiconductor fabrication process with asymmetrical conductive spacers.
  447. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor nano-rod devices.
  448. Chen,Hung Wei; Yeo,Yee Chia; Lee,Di Hong; Yang,Fu Liang; Hu,Chenming, Semiconductor nano-wire devices and methods of fabrication.
  449. Zhou, Huajie; Xu, Qiuxia, Semiconductor structure and method for manufacturing the same.
  450. Abadeer, Wagdi W.; Brown, Jeffrey S.; Fried, David M.; Gauthier, Jr., Robert J.; Nowak, Edward J.; Rankin, Jed H.; Tonti, William R., Semiconductor structure and system for fabricating an integrated circuit chip.
  451. Schepis, Dominic J.; Zhu, Huilong, Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure.
  452. Iyer, Subramanian S.; Nowak, Edward J., Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer.
  453. Iyer, Subramanian S.; Nowak, Edward J., Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer.
  454. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  455. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  456. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices.
  457. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  458. Yeo,Yee Chia; Chen,How Yu; Huang,Chien Chao; Lee,Wen Chin; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  459. Xiang,Qi; Goo,Jung Suk; Pan,James, Silicon on insulator substrate having improved thermal conductivity and method of its formation.
  460. Chang, Josephine; Chang, Paul; Guillorn, Michael A.; Sleight, Jeffrey, Single gate inverter nanowire mesh.
  461. Ho, Hsin-Jung; Lin, Jeng-Ping; Shih, Neng-Tai; Wu, Chang-Rong; Lin, Chiang-Hung; Wu, Chih-Huang, Single-sided access device and fabrication method thereof.
  462. Yang,Chih Yuh; Ahmed,Shibly S.; Dakshina Murhty,Srikanteswara; Tabery,Cyrus E.; Yu,Bin, Source and drain protection and stringer-free gate formation in semiconductor devices.
  463. Happ, Thomas; Philipp, Jan Boris, Stacked SONOS memory.
  464. Doyle,Brian S; Rakshit,Titash; Chau,Robert S; Datta,Suman; Brask,Justin K; Shah,Uday, Stacked multi-gate transistor design and method of fabrication.
  465. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  466. Hou, Yung-Chin; Lu, Lee-Chung; Guo, Ta-Pen; Tien, Li-Chun; Li, Ping Chung; Tai, Chun-Hui; Chen, Shu-Min, Standard cell without OD space effect in Y-direction.
  467. Wang, Yen-Sen; Lin, Chung-Te; Cao, Min; Yang, Sheng-Jier, Strain bars in stressed layers of MOS devices.
  468. Hoentschel, Jan; Beyer, Sven; Griebenow, Uwe, Strain engineering in three-dimensional transistors based on globally strained semiconductor base layers.
  469. Zhu, Huilong; Xu, Miao, Strained FinFET and method for manufacturing the same.
  470. Ko,Chih Hsin; Yeo,Yee Chia; Lee,Wen Chin; Hu,Chenming, Strained channel complementary field-effect transistors.
  471. Ko,Chih Hsin; Yeo,Yee Chia; Lee,Wen Chin; Hu,Chenming, Strained channel complementary field-effect transistors and methods of manufacture.
  472. Ge, Chung-Hu; Wang, Chao-Hsuing; Huang, Chien-Chao; Lee, Wen-Chin; Hu, Chenming, Strained channel on insulator device.
  473. Ge,Chung Hu; Wang,Chao Hsiung; Huang,Chien Chao; Lee,Wen Chin; Hu,Chenming, Strained channel on insulator device.
  474. Yeo,Yee Chia; Ko,Chih Hsin; Lee,Wen Chin; Hu,Chenming, Strained channel transistor and methods of manufacture.
  475. Huang, Yi-Chun; Wang, Yen-Ping; Ko, Chih-Hsin, Strained channel transistor formation.
  476. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  477. Doris,Bruce B.; Chidambarrao,Dureseti; Ieong,MeiKei; Mandelman,Jack A., Strained finFET CMOS device structures.
  478. Chidambarrao,Dureseti; Dokumaci,Omer H.; Gluschenkov,Oleg G., Strained finFETs and method of manufacture.
  479. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon finFET device.
  480. Chuang, Harry; Thei, Kong-Beng; Guo, Wen-Huei; Liang, Mong Song, Strained transistor with optimized drive current and method of forming.
  481. Cohen,Guy Moshe, Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates.
  482. Cohen,Guy Moshe, Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates.
  483. Cohen, Guy Moshe, Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates.
  484. Yeo, Yee-Chia; Ko, Chih-Hsin; Lee, Wen-Chin; Hu, Chenming, Strained-channel transistor and methods of manufacture.
  485. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  486. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  487. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  488. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  489. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  490. Moroz, Victor; King Liu, Tsu-Jae, Stress-enhanced performance of a FinFET using surface/channel orientations and strained capping layers.
  491. Nowak,Edward J.; Williams,Richard Q., Structure and method for dual-gate FET with SOI substrate.
  492. Nowak,Edward J.; Williams,Richard Q., Structure and method for dual-gate FET with SOI substrate.
  493. Yeo,Yee Chia; Yang,Fu Liang, Structure and method for forming the gate electrode in a multiple-gate transistor.
  494. Yeo,Yee Chia; Yang,Fu Liang, Structure and method for forming the gate electrode in a multiple-gate transistor.
  495. Ko, Chih-Hsin; Lee, Wen-Chin; Yeo, Yee-Chia; Lin, Chun-Chieh; Hu, Chenming, Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit.
  496. Ko,Chih Hsin; Lee,Wen Chin; Yeo,Yee Chia; Lin,Chun Chieh; Hu,Chenming, Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit.
  497. Zhu,Huilong; Doris,Bruce B.; Wang,Xinlin; Beintner,Jochen; Zhang,Ying; Oldiges,Philip J., Structure and method of making double-gated self-aligned finFET having gates of different lengths.
  498. Zhu,Huilong; Doris,Bruce B., Structure and method of manufacturing a finFET device having stacked fins.
  499. Haensch, Wilfried Ernst-August; Kulkarni, Pranita; Yamashita, Tenko, Structure and method to fabricate resistor on finFET processes.
  500. Cheng, Kangguo; Ervin, Joseph; Li, Juntao; Pei, Chengwen; Todi, Ravi M.; Wang, Geng, Sublithographic width finFET employing solid phase epitaxy.
  501. Pei, Chengwen; Cheng, Kangguo; Ervin, Joseph; Li, Juntao; Todi, Ravi M.; Wang, Geng, Sublithographic width finFET employing solid phase epitaxy.
  502. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman, Substrate band gap engineered multi-gate pMOS devices.
  503. Gossner, Harald; Russ, Christian, System for protection against electrostatic discharges in an electrical circuit.
  504. Wang,Haihong; Ahmed,Shibly S.; Lin,Ming Ren; Yu,Bin, Systems and methods for forming multiple fin structures using metal-induced-crystallization.
  505. Coronel,Philippe; Wacquez,Romain, Three-gate transistor structure.
  506. Anderson, Brent A.; Nowak, Edward J., Three-mask method of constructing the final hard mask used for etching the silicon fins for FinFETs.
  507. Yoon, Jae Man; Lee, Choong Ho; Park, Dong Gun; Lee, Chul, Transistor and method of forming the same.
  508. Nuttinck, Sebastien; Curatola, Gilberto, Transistor device and method of manufacturing such a transistor device.
  509. Orlowski,Marius K.; Mathew,Leo, Transistor having multiple channels.
  510. Mathew,Leo; Muralidhar,Ramachandran, Transistor having three electrically isolated electrodes and method of formation.
  511. Juengling, Werner, Transistor with a passive gate.
  512. Mathew,Leo; Steimle,Robert F.; Muralidhar,Ramachandran, Transistor with independent gate structures.
  513. Mathew,Leo; Muralidhar,Ramachandran, Transistor with vertical dielectric structure.
  514. Weis, Rolf; Schloesser, Till; von Schwerin, Ulrike Gruening, Transistor, memory cell array and method of manufacturing a transistor.
  515. Jain, Ankit; Nair, Pradeep R.; Alam, Muhammad Ashraful, Transistor-based particle detection systems and methods.
  516. An,Judy Xilin; Wang,Haihong; Yu,Bin, Tri-gate and gate around MOSFET devices and methods for making same.
  517. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman, Tri-gate devices and methods of fabrication.
  518. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  519. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  520. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  521. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  522. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  523. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman; Hareland,Scott A., Tri-gate devices and methods of fabrication.
  524. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman; Hareland,Scott A., Tri-gate devices and methods of fabrication.
  525. Ban,Ibrahim; Chang,Peter L. D., Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate.
  526. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  527. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  528. Chau,Robert; Datta,Suman; Doyle,Brian S; Jin,Been Yih, Tri-gate transistors and methods to fabricate same.
  529. Liao, Wen-Shiang; Shiau, Wei-Tsun, Triple gate device having strained-silicon channel.
  530. She, Min; King, Tsu Jae, Two bit/four bit SONOS flash memory cell.
  531. Shaheen, Mohamad A.; Rachmady, Willy; Tolchinsky, Peter, Ultra-thin oxide bonding for S1 to S1 dual orientation bonding.
  532. Metz,Matthew V.; Datta,Suman; Doczy,Mark L.; Kavalieros,Jack T.; Brask,Justin K.; Chau,Robert S., Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors.
  533. Wang, Haihong; An, Judy Xilin; Yu, Bin, Uniformly doped source/drain junction in a double-gate MOSFET.
  534. Oh, Chang-Woo; Park, Dong-Gun; Lee, Sung-Young; Choe, Jeong-Dong; Kim, Dong-Won, Vertical channel fin field-effect transistors having increased source/drain contact area and methods for fabricating the same.
  535. Lojek, Bohumil, Vertical gate CMOS with lithography-independent gate length.
  536. Furukawa,Toshiharu; Hakey,Mark Charles; Horak,David Vaclav; Koburger, III,Charles William; Mitchell,Peter H., Wrap-around gate field effect transistor.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로