$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
  • H01L-021/469
  • C23C-016/32
출원번호 US-0660268 (2000-09-12)
발명자 / 주소
  • Srinivas Nemani
  • Li-Qun Xia
  • Ellie Yieh
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan
인용정보 피인용 횟수 : 181  인용 특허 : 9

초록

A method for forming a silicon carbide layer for use in integrated circuit fabrication is disclosed. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and an inert gas in the presence of an electric field. The electric field is generated usin

대표청구항

1. A method of layer deposition comprising:positioning a substrate in a deposition chamber; providing a gas mixture to the deposition chamber, wherein the gas mixture comprises a silicon source, a carbon source, and an inert gas; and reacting the gas mixture in the presence of an electric field to f

이 특허에 인용된 특허 (9)

  1. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  2. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  3. Claes H. Bjorkman ; Min Melissa Yu ; Hongquing Shan ; David W. Cheung ; Wai-Fan Yau ; Kuowei Liu ; Nasreen Gazala Chapra ; Gerald Yin ; Farhad K. Moghadam ; Judy H. Huang ; Dennis Yost ; B, Integrated low K dielectrics and etch stops.
  4. Swanson Leland S., Intra-chip AC isolation of RF passive components.
  5. Loboda Mark Jon ; Seifferly Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  6. Yao Xiang Yu, Reducing hydrogen concentration in pecvd amorphous silicon carbide films.
  7. Olsen Leif C. ; Swanson Leland S., Silicon carbide stop layer in chemical mechanical polishing over metallization layers.
  8. Angelopoulos Marie ; Babich Katherina ; Grill Alfred ; Halle Scott David ; Mahorowala Arpan Pravin ; Patel Vishnubhai Vitthalbhai, Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof.
  9. Raoux Sebastien ; Mudholkar Mandar, Use of an asymmetric waveform to control ion bombardment during substrate processing.

이 특허를 인용한 특허 (181)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  11. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  12. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  13. Yin, Gerald; Chen, Jinyuan; Ni, Tuqiang, Capacitive CVD reactor and methods for plasma CVD process.
  14. Lubomirsky, Dmitry, Chamber with flow-through source.
  15. Lubomirsky, Dmitry, Chamber with flow-through source.
  16. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  17. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  18. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  19. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  20. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  21. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  22. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  23. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  24. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  25. Varadarajan, Bhadri N.; Gong, Bo; Yuan, Guangbi; Gui, Zhe; Lai, Fengyuan, Densification of silicon carbide film using remote plasma treatment.
  26. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  27. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  28. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  29. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  30. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  31. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  32. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  33. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  34. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  35. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  36. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  37. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  38. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  39. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  40. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  41. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  42. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  43. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  44. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  45. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  46. Rangarajan, Vishwanathan; Antonelli, George Andrew; Banerji, Ananda; Van Schravendijk, Bart, Hardmask materials.
  47. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  48. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  49. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  50. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  51. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  52. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  53. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  54. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  55. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  56. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  57. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  58. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  59. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  60. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  61. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  62. Cheng,Kangguo; Divakaruni,Ramachandra, Method and structure to improve properties of tunable antireflective coatings.
  63. Liu, Ai-Sen; Jang, Syun-Ming, Method for forming dielectric barrier layer in damascene structure.
  64. Arghavani,Reza; Kwan,Michael Chiu; Xia,Li Qun; Yim,Kang Sub, Method for producing gate stack sidewall spacers.
  65. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  66. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  67. Nemani,Srinivas D; Xia,Li Qun; Sugiarto,Dian; Yieh,Ellie; Xu,Ping; Campana Schmitt,Francimar; Lee,Jia, Method of depositing dielectric films.
  68. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  69. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  70. Campana,Francimar; Nemani,Srinivas; Chapin,Michael; Venkataraman,Shankar, Method of depositing low dielectric constant silicon carbide layers.
  71. Xia, Li-Qun; Xu, Ping; Yang, Louis, Method of depositing low k barrier layers.
  72. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  73. Xu,Ping; Xia,Li Qun; Dworkin,Larry A.; Naik,Mehul, Method of eliminating photoresist poisoning in damascene applications.
  74. Li,Li; Li,Weimin, Method of eliminating residual carbon from flowable oxide fill.
  75. Ko, Jungmin, Method of fin patterning.
  76. Goundar,Kamal Kishore, Method of forming silicon carbide films.
  77. Goundar,Kamal Kishore; Kumakura,Tadashi; Satoh,Kiyoshi, Method of forming silicon carbide films.
  78. Li,Lihua; Huang,Tzu Fang; Xia,Li Qun, Method of improving stability in low k barrier layers.
  79. Goundar,Kamal Kishore; Satoh,Kiyoshi, Method of manufacturing silicon carbide film.
  80. Satoh, Kiyoshi; Goundar, Kamal Kishore, Method of manufacturing silicon carbide film.
  81. Varadarajan, Bhadri N., Method to obtain SiC class of films of desired composition and film properties.
  82. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  83. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  84. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  85. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  86. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  87. Wu, Qingguo; Fu, Haiying; Smith, David C.; Mordo, David, Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups.
  88. Wu,Qingguo; Niu,Dong; Fu,Haiying, Methods for producing low-k CDO films with low residual stress.
  89. Wu, Qingguo; Fu, Haiying; Niu, Dong; Bandyopadhyay, Ananda K.; Mordo, David, Methods for producing low-k carbon doped oxide films with low residual stress.
  90. Fox, Keith; Mars, Carole; Kirkpatrick, Willis; Srinivasan, Easwar, Methods for producing low-stress carbon-doped oxide films with improved integration properties.
  91. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  92. Cheng,Yi Lung; Wang,Ying Lung, Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure.
  93. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  94. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  95. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  96. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  97. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  98. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  99. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  100. Antonelli, George Andrew; Hollister, Alice; Reddy, Sirish, Oxygen-containing ceramic hard masks and associated wet-cleans.
  101. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  102. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  103. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  104. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  105. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  106. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  107. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  108. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  109. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  110. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  111. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  112. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  113. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  114. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  115. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  116. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  117. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  118. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  119. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  120. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  121. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  122. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  123. Varadarajan, Bhadri, Remote plasma based deposition of SiOC class of films.
  124. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  125. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  126. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  127. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  128. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  129. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  130. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  131. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  132. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  133. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  134. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  135. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  136. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  137. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  138. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  139. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  140. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  141. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  142. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  143. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  144. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  145. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  146. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  147. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  148. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  149. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  150. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  151. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  152. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  153. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  154. Huston, Joel M., Showerhead assembly.
  155. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  156. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  157. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  158. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  159. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  160. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  161. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  162. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  163. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  164. Yu, Yongsik; van Schravendijk, Bart J.; Shankar, Nagraj; Varadarajan, Bhadri N., Staircase encapsulation in 3D NAND fabrication.
  165. Bowers, Jeffrey A.; Hyde, Roderick A.; Ishikawa, Muriel Y.; Jung, Edward K. Y.; Kare, Jordin T.; Leuthardt, Eric C.; Myhrvold, Nathan P.; Nugent, Jr., Thomas J.; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L., Storage container including multi-layer insulation composite material having bandgap material.
  166. Eckhoff, Philip A.; Gates, William; Hyde, Roderick A.; Jung, Edward K. Y.; Myhrvold, Nathan P.; Peterson, Nels R.; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L., Temperature-controlled storage systems.
  167. Hyde, Roderick A.; Jung, Edward K. Y.; Myhrvold, Nathan P.; Tegreene, Clarence T.; Gates, William; Whitmer, Charles; Wood, Jr., Lowell L., Temperature-stabilized medicinal storage systems.
  168. Deane, Geoffrey F.; Fowler, Lawrence Morgan; Gates, William; Guo, Zihong; Hyde, Roderick A.; Jung, Edward K. Y.; Kare, Jordin T.; Myhrvold, Nathan P.; Pegram, Nathan; Peterson, Nels R.; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L., Temperature-stabilized storage systems.
  169. Hyde, Roderick A.; Jung, Edward K. Y.; Myhrvold, Nathan P.; Tegreene, Clarence T.; Gates, III, William H.; Whitmer, Charles; Wood, Jr., Lowell L., Temperature-stabilized storage systems.
  170. Chou, Fong-Li; Deane, Geoffrey F.; Gates, William; Guo, Zihong; Hyde, Roderick A.; Jung, Edward K. Y.; Myhrvold, Nathan P.; Peterson, Nels R.; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L., Temperature-stabilized storage systems with flexible connectors.
  171. Bloedow, Jonathan; Calderon, Ryan; Friend, Michael; Gasperino, David; Gates, William; Hyde, Roderick A.; Jung, Edward K. Y.; Liu, Shieng; Myhrvold, Nathan P.; Pegram, Nathan John; Piech, David Keith; Stone, Shannon Weise; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L.; Yildirim, Ozgur Emek, Temperature-stabilized storage systems with integral regulated cooling.
  172. Bloedow, Jonathan; Calderon, Ryan; Gasperino, David; Gates, William; Hyde, Roderick A.; Jung, Edward K. Y.; Liu, Shieng; Myhrvold, Nathan P.; Pegram, Nathan John; Tegreene, Clarence T.; Whitmer, Charles; Wood, Jr., Lowell L.; Yildirim, Ozgur Emek, Temperature-stabilized storage systems with regulated cooling.
  173. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  174. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  175. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  176. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  177. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  178. Zheng, Yi; Nemani, Srinivas D.; Xia, Li-Qun, Two-layer film for next generation damascene barrier application with good oxidation resistance.
  179. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  180. van den Hoek, Willibrordus Gerardus Maria; Draeger, Nerissa S.; Humayun, Raashina; Hill, Richard S.; Sun, Jianing; Ray, Gary William, VLSI fabrication processes for introducing pores into dielectric materials.
  181. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로