$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of depositing a low K dielectric with organo silane 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
  • H01L-021/469
  • H01L-021/31
출원번호 US-0370371 (1999-08-09)
발명자 / 주소
  • Yau, Wai-Fan
  • Cheung, David
  • Jeng, Shin-Puu
  • Liu, Kuowei
  • Yu, Yung-Cheng
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson and Sheridan
인용정보 피인용 횟수 : 52  인용 특허 : 23

초록

A method and apparatus for depositing a low dielectric constant film by reaction of an organo silane compound and an oxidizing gas. The oxidized organo silane film has excellent barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organo silane film can a

대표청구항

1. A method of forming a structure, comprising: depositing a first silicon carbide film on a substrate; depositing a dielectric layer comprising silicon, oxygen, and carbon on the first silicon carbide film by oxidizing a compound comprising at least one silicon-carbon bond and at least one sili

이 특허에 인용된 특허 (23)

  1. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  2. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  3. Farkas Janos ; Bajaj Rajeev ; Freeman Melissa ; Watts David K. ; Das Sanjit, Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers.
  4. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  5. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  6. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow RUX), Diamond-like metallic nanocomposites.
  7. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  8. Wetzel Jeffrey Thomas, Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation.
  9. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  10. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  11. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  12. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  13. Hegde Rama I. ; Denning Dean J. ; Klein Jeffrey L. ; Tobin Philip J., Method for forming a conductive structure having a composite or amorphous barrier layer.
  14. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow SUX), Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films.
  15. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  16. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  17. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  18. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  19. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  20. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  21. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  22. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  23. Loboda Mark Jon ; Michael Keith Winton, Silicon carbide metal diffusion barrier layer.

이 특허를 인용한 특허 (52)

  1. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  3. Rajagopalan,Nagarajan; Shek,Meiyee; Lee,Albert; Lakshmanan,Annamalai; Xia,Li Qun; Cui,Zhenjiang, Adhesion improvement for low k dielectrics to conductive materials.
  4. Lee,Albert; Lakshmanan,Annamalai; Kim,Bok Hoen; Xia,Li Qun; Shek Le,Mei Yee, Bi-layer approach for a hermetic low dielectric constant layer for barrier applications.
  5. Xu, Ping; Bencher, Christopher Dennis, Bi-layer capping of low-K dielectric films.
  6. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  7. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  8. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., Computer readable medium for holding a program for performing plasma-assisted CVD of low dielectric constant films formed from organosilane compounds.
  9. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Ted; Yao, Andrew, Enhanced passivation process to protect silicon prior to high dose implant strip.
  10. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  11. Lakshmanan,Annamalai; Lee,Albert; Lee,Ju Hyung; Kim,Bok Hoen, Hermetic low dielectric constant layer for barrier applications.
  12. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  13. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  14. Goto, Haruhiro Harry; Cheung, David, High dose implantation strip (HDIS) in H2 base chemistry.
  15. Huang,Judy H., In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  16. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  17. Huang, Judy H., In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application.
  18. Cheung, David; Li, Ted; Guha, Anirban; Ostrowski, Kirk, Low damage photoresist strip method for low-K dielectrics.
  19. Yim,Kang Sub; Tam,Melissa M.; Sugiarto,Dian; Lang,Chi I; Lee,Peter Wai Man; Xia,Li Qun, Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD).
  20. Xia, Li-Qun; Xu, Ping; Yang, Louis; Huang, Tzu-Fang; Zhu, Wen H., Method for depositing a low k dielectric film (K>3.5) for hard mask application.
  21. Daubenspeck, Timothy H.; Landers, William F.; Zupanski-Nielsen, Donna S., Method for fabricating last level copper-to-C4 connection with interfacial cap structure.
  22. Ozawa, Ken, Method for manufacturing semiconductor device and the semiconductor device.
  23. Chen, David L.; Su, Yuh-Jia; Chiu, Eddie Ka Ho; Pozzoli, Maria Paola; Li, Senzi; Colangelo, Giuseppe; Alba, Simone; Petroni, Simona, Method for post-etch cleans.
  24. Yim, Kang Sub; Tam, Melissa M.; Sugiarto, Dian; Lang, Chi-I; Lee, Peter Wai-Man; Xia, Li-Qun, Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide.
  25. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low K dielectric with organo silane.
  26. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low dielectric with organo silane.
  27. Lee,Ju Hyung; Xu,Ping; Venkataraman,Shankar; Xia,Li Qun; Han,Fei; Yieh,Ellie; Nemani,Srinivas D.; Yim,Kangsub; Moghadam,Farhad K.; Sinha,Ashok K.; Zheng,Yi, Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications.
  28. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low K barrier layers.
  29. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low K films.
  30. Xia,Li Qun; Xu,Ping; Yang,Louis, Method of depositing low k barrier layers.
  31. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Method of modifying interlayer adhesion.
  32. Schmitt,Francimar Campana; Xia,Li Qun; Nguyen,Son Van; Venkataraman,Shankar, Method of modifying interlayer adhesion.
  33. Usami,Tatsuya, Method of producing a semiconductor device having a multi-layered insulation film.
  34. Chen, David; Goto, Haruhiro Harry; Martina, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  35. Chen, David; Goto, Haruhiro Harry; Su, Martina; Greer, Frank; Alokozai, Shamsuddin, Methods for stripping photoresist and/or cleaning metal regions.
  36. Bowen, Heather Regina; Li, Jianheng; O'Neill, Mark Leonard; Xiao, Manchao; Johnson, Andrew David; Lei, Xinjian, Methods of forming non-oxygen containing silicon-based films.
  37. Schmitt, Francimar Campana; Xia, Li Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  38. Schmitt, Francimar Campana; Xia, Li-Qun; Nguyen, Son Van; Venkataraman, Shankar, Methods of modifying interlayer adhesion.
  39. Kim, Bok Hoen; Rathi, Sudha; Ahn, Sang H.; Bencher, Christopher D.; Wang, Yuxiang May; M'Saad, Hichem; Silvetti, Mario D.; Fung, Miguel; Jung, Keebum; Zhu, Lei, Nitrogen-free dielectric anti-reflective coating and hardmask.
  40. Kim,Bok Hoen; Rathi,Sudha; Ahn,Sang H.; Bencher,Christopher D.; Wang,Yuxiang May; M'Saad,Hichem; Silvetti,Mario D., Nitrogen-free dielectric anti-reflective coating and hardmask.
  41. Thedjoisworo, Bayu Atmaja; Jacobs, Bradley Jon; Berry, Ivan; Cheung, David, Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films.
  42. Goto, Haruhiro Harry; Kalinovski, Ilia; Mohamed, Khalid, Photoresist strip method for low-k dielectrics.
  43. Shaviv, Roey; Ostrowski, Kirk; Cheung, David; Park, Joon; Thedjoisworo, Bayu; Lord, Patrick J., Photoresist strip processes for improved device integrity.
  44. Cheung, David; Ostrowski, Kirk J, Plasma based photoresist removal system for cleaning post ash residue.
  45. Cheung, David; Ostrowski, Kirk J., Plasma based photoresist removal system for cleaning post ash residue.
  46. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  47. Faust, Richard A.; Russell, Noel M.; Chen, Li, Semiconductor device with silicon-carbon-oxygen dielectric having improved metal barrier adhesion and method of forming the device.
  48. Usami, Tatsuya, Semiconductor device, semiconductor wafer, and methods of producing same device and wafer.
  49. Usami, Tatsuya, Semiconductor device, semiconductor wafer, and methods of producing the same device and wafer.
  50. Goto, Haruhiro Harry; Cheung, David, Simultaneous front side ash and backside clean.
  51. Blonigan,Wendell T.; White,John M.; Bagley,William A., Tunable gas distribution plate assembly.
  52. Cheung, David; Fang, Haoquan; Kuo, Jack; Kalinovski, Ilia; Li, Zhao; Yao, Guhua; Guha, Anirban; Ostrowski, Kirk J., Ultra low silicon loss high dose implant strip.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로