$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Fin FET devices from bulk semiconductor and method for forming 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/8238
출원번호 US-0063994 (2002-06-03)
발명자 / 주소
  • Fried, David M.
  • Nowak, Edward J.
  • Rainey, Beth A
  • Sadana, Devendra K.
출원인 / 주소
  • International Business Machines Corporation
대리인 / 주소
    Schmeiser, Olsen & Watts
인용정보 피인용 횟수 : 305  인용 특허 : 21

초록

The present invention thus provides a device structure and method for forming fin Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the device structure and method provides the ability to form finFET devices from bulk semiconductor wafers while

대표청구항

The present invention thus provides a device structure and method for forming fin Field Effect Transistors (FETs) that overcomes many of the disadvantages of the prior art. Specifically, the device structure and method provides the ability to form finFET devices from bulk semiconductor wafers while

이 특허에 인용된 특허 (21)

  1. Dung-Ching Perng ; Yauh-Ching Liu, DRAM cell having a vertical transistor and a capacitor formed on the sidewalls of a trench isolation.
  2. Malaviya Shashi D. (Hopewell Junction NY), Fabrication of stud-defined integrated circuit structure.
  3. Chenming Hu ; Tsu-Jae King ; Vivek Subramanian ; Leland Chang ; Xuejue Huang ; Yang-Kyu Choi ; Jakub Tadeusz Kedzierski ; Nick Lindert ; Jeffrey Bokor ; Wen-Chin Lee, Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture.
  4. Brunner Timothy A. (Ridgefield CT) Hsu Louis L. (Fishkill NY) Mandelman Jack A. (Stormville NY) Wang Li-Kong (Montvale NJ), High performance multi-mesa field effect transistor.
  5. Shirasaki Masahiro (Kawasaki JPX), MIS transistor structure for increasing conductance between source and drain regions.
  6. Tseng Horng-Huei (Hsin-chu TWX), Method for fabricating a DRAM trench capacitor with recessed pillar.
  7. Lee Kuei-Ying,TWX ; Thei Kong-Beng,TWX ; Chen Bou-Fun,TWX, Method for making a trench isolation having a conformal liner oxide and top and bottom rounded corners for integrated circuits.
  8. Tsuchiaki Masakatsu,JPX, Method for silicon island formation.
  9. Bin Yu, Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed.
  10. Tamaki Tokuhiko (Sakai JPX) Kubota Masafumi (Osaka JPX), Method of fabricating semiconductor device.
  11. Norihiko Samoto JP, Method of fabricating semiconductor device with diamond substrate.
  12. Brown Dale M. (Schenectady NY), Method of making integrated circuits.
  13. Gris, Yvon; Monroy, Agustin, Method of producing integrated silicon structures on isolated islets of the substrate.
  14. Soclof Sidney I. (San Gabriel CA), PNP-type lateral transistor with minimal substrate operation interference and method for producing same.
  15. Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  16. Soclof Sidney I. (San Gabriel CA), Process for producing NPN type lateral transistor with minimal substrate operation interference.
  17. Darwin A. Clampitt, Semiconductor structure having more usable substrate area and method for forming same.
  18. Ueno Katsunori,JPX, Silicon carbide field effect transistor with increased avalanche withstand capability.
  19. Malaviya Shashi D. (Hopewell Junction NY), Stud-defined integrated circuit structure.
  20. Forbes Leonard, Technique for producing small islands of silicon on insulator.
  21. Lechaton John S. (Wappingers Falls NY) Malaviya Shashi D. (Fishkill NY) Schepis Dominic J. (Wappingers Falls NY) Srinivasan Gurumakonda R. (Poughkeepsie NY), Total dielectric isolation for integrated circuits.

이 특허를 인용한 특허 (305)

  1. Liu, Zuoguang; Sun, Xin; Yamashita, Tenko, 3D fin tunneling field effect transistor.
  2. Yeh, Chih Chieh; Chang, Chih-Sheng; Wann, Clement Hsingjen, Accumulation type FinFET, circuits and fabrication method thereof.
  3. Yeh, Chih Chieh; Chang, Chih-Sheng; Wann, Clement Hsingjen, Accumulation type FinFET, circuits and fabrication method thereof.
  4. Kavalieros,Jack T.; Shah,Uday; Rachmady,Willy; Doyle,Brian S., Apparatus and method for selectively recessing spacers on multi-gate devices.
  5. Tang, Sanh D.; Karda, Kamal M.; Mueller, Wolfgang; Dhir, Sourabh; Kerr, Robert; Hwang, Sangmin; Liu, Haitao, Array of conductive lines individually extending transversally across and elevationally over a mid-portion of individual active area regions.
  6. Rhee,Hwa Sung; Kim,Hyun Suk; Tetsuji,Ueno; Yoo,Jae Yoon; Lee,Seung Hwan; Lee,Ho; Park,Moon han, At least penta-sided-channel type of FinFET transistor.
  7. Cheng, Kangguo; He, Hong; Kanakasabapathy, Sivananda K.; Tseng, Chiahsun; Yin, Yunpeng, Bulk fin formation with vertical fin sidewall profile.
  8. Cheng, Kangguo; He, Hong; Kanakasabapathy, Sivananda K.; Tseng, Chiahsun; Yin, Yunpeng, Bulk fin formation with vertical fin sidewall profile.
  9. Cheng, Kangguo; Doris, Bruce B., Bulk finFET with uniform height and bottom isolation.
  10. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  11. Adam, Thomas N.; Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander, Bulk semiconductor fins with self-aligned shallow trench isolation structures.
  12. Adam, Thomas N.; Cheng, Kangguo; Khakifirooz, Ali; Reznicek, Alexander, Bulk semiconductor fins with self-aligned shallow trench isolation structures.
  13. Seacrist, Michael R., Bulk silicon wafer product useful in the manufacture of three dimensional multigate MOSFETs.
  14. Seo,Hyeoung Won; Yang,Woun Suck; Song,Du Heon; Youn,Jae Man, Bulk substrates in FinFETs with trench insulation surrounding FIN pairs having FINs separated by recess hole shallower than trench.
  15. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  16. Seliskar, John J., Castellated gate MOSFET device capable of fully-depleted operation.
  17. Lue, Hang Ting; Hsu, Tzu Hsuan, Cylindrical channel charge trapping devices with effectively high coupling ratios.
  18. Fischer, Mark, DRAM arrays.
  19. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Damascene gate semiconductor processing with local thinning of channel region.
  20. Lue, Hang-Ting; Hsiao, Yi-Hsuan, Depletion-mode charge-trapping flash device.
  21. Furukawa, Toshiharu; Horak, David V.; Koburger, III, Charles W.; Quyang, Qiqing C., Device component forming method with a trim step prior to sidewall image transfer (SIT) processing.
  22. Li, Yujun; Settlemyer, Jr., Kenneth T.; Beintner, Jochen, Device fabrication by anisotropic wet etch.
  23. Li,Yujun; Settlemyer, Jr.,Kenneth T.; Beintner,Jochen, Device fabrication by anisotropic wet etch.
  24. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  25. Chang, Josephine B.; Lauer, Isaac; Lin, Chung-Hsun; Sleight, Jeffrey W., Diode structure and method for FINFET technologies.
  26. Chang, Josephine B.; Lauer, Isaac; Lin, Chung-Hsun; Sleight, Jeffrey W., Diode structure and method for FINFET technologies.
  27. Huang, Yu-Lien; Tsai, Chun Hsiung; Wu, Chii-Ming; Fang, Ziwei, Doped oxide for shallow trench isolation (STI).
  28. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  29. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  30. Yeo, Yee-Chia; Wang, Ping-Wei; Chen, Hao-Yu; Yang, Fu-Liang; Hu, Chenming, Doping of semiconductor fin devices.
  31. Yeo,Yee Chia; Wang,Ping Wei; Chen,Hao Yu; Yang,Fu Liang; Hu,Chenming, Doping of semiconductor fin devices.
  32. Yoon,Jae Man; Park,Dong gun; Jin,Gyo young; Makoto,Yoshida; Park,Tai su, Double gate field effect transistor and method of manufacturing the same.
  33. Youn,Jae Mun; Park,Dong gun; Jin,Gyo young; Makoto,Yoshida; Park,Tai su, Double gate field effect transistor and method of manufacturing the same.
  34. Anderson, Brent A.; Nowak, Edward J., Double gate isolation.
  35. Lim, Kwan-Yong; Cho, Heung-Jae; Sung, Min-Gyu, Dual polysilicon gate of a semiconductor device with a multi-plane channel.
  36. Achuthan, Krishnashree; Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Dual silicon layer for chemical mechanical polishing planarization.
  37. Achuthan, Krishnashree; Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Dual silicon layer for chemical mechanical polishing planarization.
  38. Achuthan,Krishnashree; Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Dual silicon layer for chemical mechanical polishing planarization.
  39. Chung, Tao-Wen; Ke, Po-Yao; Chung, Shine; Hsueh, Fu-Lung, Electrical anti-fuse and related applications.
  40. Hsueh, Fu-Lung; Chung, Tao Wen; Ke, Po-Yao; Chung, Shine, Electrical fuse and related applications.
  41. Kavalieros, Jack T.; Mukherjee, Niloy; Dewey, Gilbert; Somasekhar, Dinesh; Doyle, Brian S., Embedded memory cell and method of manufacturing same.
  42. Doris, Bruce B.; He, Hong; Li, Juntao; Wang, Junli; Yang, Chih-Chao, Enriched, high mobility strained fin having bottom dielectric isolation.
  43. Doris, Bruce B.; He, Hong; Li, Juntao; Wang, Junli; Yang, Chih-Chao, Enriched, high mobility strained fin having bottom dielectric isolation.
  44. Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Yang, Chih-Yuh; Yu, Bin, Etch stop layer for etching FinFET gate over a large topography.
  45. Wahl, Jeremy; Maitra, Kingsuk, FIN-FET device and method and integrated circuits using such.
  46. Koike, Tsuyoshi; Agata, Yasuhiro; Yamagami, Yoshinobu, FINFET based driver circuit.
  47. Yu, Chen Hua; Yeh, Chen Nan; Fu, Chu Yun; Hsu, Yu Rung, Fabrication of FinFETs with multiple fin heights.
  48. Kang, Hee-soo; Park, Dong-gun; Lee, Choong-ho; Cho, Hye-Jin; Ahn, Young-Joon, Field effect transistor (FET) devices and methods of manufacturing FET devices.
  49. Kang,Hee soo; Park,Dong gun; Lee,Choong ho; Cho,Hye jin; Ahn,Young joon, Field effect transistor (FET) devices and methods of manufacturing FET devices.
  50. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  51. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  52. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  53. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  54. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  55. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  56. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  57. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  58. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  59. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  60. Fehlhaber, Rodger; Tews, Helmut, Field effect transistors having a double gate structure.
  61. Lee,Choong Ho; Park,Dong Gun; Youn,Jae Man; Lee,Chul, Fin FET structure.
  62. Anderson, Brent A; Bryant, Andres; Nowak, Edward J.; Rankin, Jed H., Fin capacitor.
  63. Jacob, Ajey P.; Chi, Min-Hwa, Fin device with blocking layer in channel region.
  64. Lin, Hung-Ta; Fu, Chu-Yun; Chen, Hung-Ming; Yang, Shu-Tine; Huang, Shin-Yeh, Fin field effect transistor.
  65. Lin, Hung-Ta; Fu, Chu-Yun; Huang, Shin-Yeh; Yang, Shu-Tine; Chen, Hung-Ming, Fin field effect transistor.
  66. Lee, Deok-Hyung; Shin, Yu-Gyun; Lee, Jong-Wook; Kang, Min-Gu, Fin field effect transistor and method of manufacturing the same.
  67. Lee,Deok Hyung; Shin,Yu Gyun; Lee,Jong Wook; Kang,Min Gu, Fin field effect transistor and method of manufacturing the same.
  68. Huang, Gin-Chen; Chen, Neng-Kuo; Wann, Hsingjen, Fin field effect transistor gate oxide.
  69. Leobandung, Effendi; Yamashita, Tenko, Fin field effect transistor with self-aligned source/drain regions.
  70. Lin, Hung-Ta; Fu, Chu-Yun; Huang, Shin-Yeh; Yang, Shu-Tine; Chen, Hung-Ming, Fin held effect transistor.
  71. Basker, Veeraraghavan S.; Cheng, Kangguo; Standaert, Theodorus E.; Wang, Junli, Fin pitch scaling for high voltage devices and low voltage devices on the same wafer.
  72. Ko, Chih-Hsin; Wann, Clement Hsingjen, Fin structure for high mobility multiple-gate transistor.
  73. Yuan, Feng; Chen, Hung-Ming; Lee, Tsung-Lin; Chang, Chang-Yun; Wann, Clement Hsingjen, Fin structure of fin field effect transistor.
  74. Wu, Xusheng; Chi, Min-hwa; Banghart, Edmund Kenneth, Fin structures and multi-Vt scheme based on tapered fin and method to form.
  75. Lee, Deok Hyung; Choi, Si Young; Lee, Byeong Chan; Jung, In Soo; Heo, Jin Hwa, Fin-field effect transistors (Fin-FETs) having protection layers.
  76. Lin, Hung-Ta; Fu, Chu-Yun; Huang, Shin-Yeh; Yang, Shu-Tine; Chen, Hung-Ming, FinFET and method of fabricating the same.
  77. Adam, Thomas N.; Basker, Veeraraghavan S.; Li, Jinghong; Lin, Chung-Hsun; Naczas, Sebastian; Reznicek, Alexander; Yamashita, Tenko, FinFET devices containing merged epitaxial Fin-containing contact regions.
  78. Adam, Thomas N.; Basker, Veeraraghavan S.; Li, Jinghong; Lin, Chung-Hsun; Naczas, Sebastian; Reznicek, Alexander; Yamashita, Tenko, FinFET devices containing merged epitaxial Fin-containing contact regions.
  79. Cai, Xiuyu; Xie, Ruilong; Cheng, Kangguo; Khakifirooz, Ali, FinFET devices having recessed liner materials to define different fin heights.
  80. He, Hong; Tseng, Chiahsun; Yeh, Chun-Chen; Yin, Yunpeng, FinFET formed over dielectric.
  81. Cheng, Kangguo; Khakifirooz, Ali; Ponoth, Shom; Sreenivasan, Raghavasimhan, FinFET semiconductor device having increased gate height control.
  82. Cheng, Kangguo; Khakifirooz, Ali; Ponoth, Shom; Sreenivasan, Raghavasimhan, FinFET semiconductor device having increased gate height control.
  83. Cheng, Kangguo; He, Hong; Khakifirooz, Ali; Tseng, Chiahsun; Yeh, Chun-chen; Yin, Yunpeng, FinFET semiconductor device having integrated SiGe fin.
  84. Hsu, Yu-Rung; Yu, Chen-Hua; Yeh, Chen-Nan, FinFETs and methods for forming the same.
  85. Anderson,Brent A.; Bernstein,Kerry; Nowak,Edward J., FinFETs with long gate length at high density.
  86. Koike, Tsuyoshi; Agata, Yasuhiro; Yamagami, Yoshinobu, Finfet based driver circuit.
  87. He, Hong; Tseng, Chiahsun; Yeh, Chun-Chen; Yin, Yunpeng, Finfet formed over dielectric.
  88. Lai, Li-Shyue; Kwok, Tsz-Mei; Yeh, Chih Chieh; Wann, Clement Hsingjen, Finfets and methods for forming the same.
  89. Basker, Veeraraghavan S.; Yamashita, Tenko; Yeh, Chun-chen, Formation of fins having different heights in fin field effect transistors.
  90. Jones, Robert E.; Brownson, Rickey S., Forming semiconductor fins using a sacrificial fin.
  91. Wang,Hongmei; Mouli,Chandra; Tran,Luan, High density access transistor having increased channel width and methods of fabricating such devices.
  92. Wang, Hongmei; Mouli, Chandra; Tran, Luan, High density memory array having increased channel widths.
  93. Wang,Hongmei; Mouli,Chandra; Tran,Luan, High density memory array having increased channel widths.
  94. Wang,Hongmei; Mouli,Chandra; Tran,Luan, High density memory devices having improved channel widths and cell size.
  95. Balakrishnan, Karthik; Cheng, Kangguo; Hashemi, Pouya; Reznicek, Alexander, Highly scaled tunnel FET with tight pitch and method to fabricate same.
  96. Balakrishnan, Karthik; Cheng, Kangguo; Hashemi, Pouya; Reznicek, Alexander, Highly scaled tunnel FET with tight pitch and method to fabricate same.
  97. Balakrishnan, Karthik; Cheng, Kangguo; Hashemi, Pouya; Reznicek, Alexander, Highly scaled tunnel FET with tight pitch and method to fabricate same.
  98. Basker, Veeraraghavan S.; Liu, Zuoguang; Yamashita, Tenko; Yeh, Chun-chen, Independent gate vertical FinFET structure.
  99. Basker, Veeraraghavan S.; Liu, Zuoguang; Yamashita, Tenko; Yeh, Chun-chen, Independent gate vertical FinFET structure.
  100. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  101. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  102. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  103. Annunziata, Anthony J.; Chudow, Joel D.; Gaidis, Michael C.; Kilaru, Rohit, Injection pillar definition for line MRAM by a self-aligned sidewall transfer.
  104. Rankin,Jed H.; Abadeer,Wagdi W.; Brown,Jeffrey S.; Tonti,William R., Integrated antifuse structure for FINFET and CMOS devices.
  105. Holz, Juergen; Kakoschke, Ronald; Nirschl, Thomas; Pacha, Christian; Schruefer, Klaus; Schulz, Thomas; Schmitt-Landsiedel, Doris, Integrated circuit arrangement comprising a field effect transistor, especially a tunnel field effect transistor.
  106. Holz, Juergen; Kakoschke, Ronald; Nirschl, Thomas; Pacha, Christian; Schruefer, Klaus; Schulz, Thomas; Schmitt-Landsiedel, Doris, Integrated circuit arrangement comprising a field effect transistor, especially a tunnel field effect transistor.
  107. Wang,Hongmei; Mouli,Chandra; Tran,Luan, Integrated circuit device having non-linear active area pillars.
  108. Chen, Hung-Ming; Yu, Shao-Ming; Chang, Chang-Yun, Integrated circuit including FINFETs and methods for forming the same.
  109. Chang, Chih-Hao; Xu, Jeff J.; Wang, Chien-Hsun; Yeh, Chih Chieh; Chang, Chih-Hsiang, Integrated circuit transistor structure with high germanium concentration SiGe stressor.
  110. Booth, Jr., Roger A.; Cheng, Kangguo; Furukawa, Toshiharu; Pei, Chengwen, Integrated circuit with finFETs and MIM fin capacitor.
  111. Liaw, Jhon Jhy, Integrated circuits and methods for forming the same.
  112. Chang, Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  113. Chang,Peter L. D., Integration of planar and tri-gate devices on the same substrate.
  114. Lue, Hang-Ting, Lateral pocket implant charge trapping devices.
  115. Lue, Hang-Ting, Lateral pocket implant charge trapping devices.
  116. Cheng, Kangguo; de Souza, Joel P.; Khakifirooz, Ali; Reznicek, Alexander; Schepis, Dominic J., Local SOI fins with multiple heights.
  117. Cheng, Kangguo; de Souza, Joel P.; Khakifirooz, Ali; Reznicek, Alexander; Schepis, Dominic J., Local SOI fins with multiple heights.
  118. Beintner,Jochen C., Locally thinned fins.
  119. Anderson,Brent A.; Nowak,Edward J., Low capacitance junction-isolation for bulk FinFET technology.
  120. Jang, Young chul, MOS transistor having protruded-shape channel and method of fabricating the same.
  121. Jang, Young-Chul, MOS transistor having protruded-shape channel and method of fabricating the same.
  122. Wu, Chii-Ming; Huang, Yu Lien; Tsai, Chun Hsiung, Mechanisms for forming ultra shallow junction.
  123. Wu, Chii-Ming; Huang, Yu Lien; Tsai, Chun Hsiung, Mechanisms for forming ultra shallow junction.
  124. Wu, Chii-Ming; Huang, Yu Lien; Tsai, Chun Hsiung, Mechanisms for forming ultra shallow junction.
  125. Wu, Chii-Ming; Huang, Yu-Lien; Tsai, Chun Hsiung, Mechanisms for forming ultra shallow junction.
  126. Chan, Wei Min; Liu, Jack; Chou, Shao-Yu, Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same.
  127. Datta,Suman; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack; Zheng,Bo; Hareland,Scott A., Method and apparatus for improving stability of a 6T CMOS SRAM cell.
  128. Siprak, Domagoj, Method and apparatus for reducing flicker noise in a semiconductor device.
  129. Wang, Chien-Hsun; Chang, Chih-Sheng; Lin, Yi-Tang; Shieh, Ming-Feng, Method and device for increasing fin device density for unaligned fins.
  130. Wang, Chien-Hsun; Chang, Chih-Sheng; Lin, Yi-Tang; Shieh, Ming-Feng, Method and device for increasing fin device density for unaligned fins.
  131. Wang, Chien-Hsun; Chang, Chih-Sheng; Lin, Yi-Tang; Shieh, Ming-Feng, Method and device for increasing fin device density for unaligned fins.
  132. Jackson,Warren, Method and structure for facilitating etching.
  133. Liu, Tsu-Jae King, Method for achieving uniform etch depth using ion implantation and a timed etch.
  134. Liu,Tsu Jae King, Method for achieving uniform etch depth using ion implantation and a timed etch.
  135. Cheng, Kangguo; Doris, Bruce B.; Furukawa, Toshiharu, Method for double pattern density.
  136. Huang, Ru; Fan, Jiewen; Xu, Xiaoyan; Li, Jia; Wang, Runsheng, Method for fabricating FinFET with separated double gates on bulk silicon.
  137. Lee, Tsung-Lin; Chang, Chih-Hao; Ko, Chih-Hsin; Yuan, Feng; Xu, Jeff J., Method for fabricating a strained structure.
  138. Lee, Tsung-Lin; Chang, Chih-Hao; Ko, Chih-Hsin; Yuan, Feng; Xu, Jeff J., Method for fabricating a strained structure.
  139. Lee, Tsung-Lin; Chang, Chih-Hao; Ko, Chih-Hsin; Yuan, Feng; Xu, Jeff J., Method for fabricating a strained structure and structure formed.
  140. Fried, David M.; Nowak, Edward J., Method for fabricating multiple-plane FinFET CMOS.
  141. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  142. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  143. Kang,Hee Soo; Lee,Chul; Kim,Tae Yong; Park,Dong Gun; Ahn,Young Joon; Lee,Choong Ho; Han,Sang Yeon, Method for forming a FinFET by a damascene process.
  144. Yang, Chih-Yuh; Ahmed, Shibly S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Method for forming a fin in a finFET device.
  145. Yu, Bin; An, Judy Xilin; Dakshina-Murthy, Srikanteswara, Method for forming a gate in a FinFET device.
  146. Chang, Chih-Hao; Xu, Jeff J.; Wang, Chien-Hsun; Yeh, Chih Chieh; Chang, Chih-Hsiang, Method for forming high germanium concentration SiGe stressor.
  147. Yu, Bin; An, Judy Xilin; Tabery, Cyrus E., Method for forming multiple fins in a semiconductor device.
  148. Li, Jhen-Cyuan; Lu, Shui-Yen; Wu, Yen-Liang, Method for forming semiconductor structure.
  149. Ren, Zhibin; Wang, Xinhui; Chan, Kevin K.; Zhang, Ying, Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions.
  150. Hsu,Tzu Hsuan; Lai,Erh Kun; Lue,Hang Ting; Ho,Chia Hua, Method for manufacturing memory cell.
  151. Yin, Huaxiang; Xu, Qiuxia; Chen, Dapeng, Method for manufacturing multigate device.
  152. Ponomarev, Youri; Loo, Josine, Method for the manufacture of a semiconductor device and a semiconductor device obtained through it.
  153. Lin, Shun Wu; Lim, Peng-Soon; Yeh, Matt; Hui, Ouyang, Method of controlling gate thickness in forming FinFET devices.
  154. Kim,Sung Min; Yun,Eun Jung, Method of fabricating a fin field effect transistor having a plurality of protruding channels.
  155. Shah,Uday; Doyle,Brian S.; Brask,Justin K.; Chau,Robert S., Method of fabricating a multi-cornered film.
  156. Han, Sang-In; Eisenbeiser, Kurt W.; Lu, Bing, Method of fabricating three dimensional gate structure using oxygen diffusion.
  157. Yao, Liang-Gi; Chen, Chia-Cheng; Kuan, Ta-Ming; Xu, Jeff J.; Wann, Clement Hsingjen, Method of forming a semiconductor structure.
  158. Rhee, Hwa-Sung; Kim, Hyun-Suk; Tetsuji, Ueno; Yoo, Jae-Yoon; Lee, Seung-Hwan; Lee, Ho; Park, Moon-han, Method of forming an at least penta-sided-channel type of FinFET transistor.
  159. Orlowski, Marius; Wild, Andreas, Method of forming an inverted T shaped channel structure for an inverted T channel field effect transistor device.
  160. Orlowski, Marius; Wild, Andreas, Method of forming an inverted T shaped channel structure for an inverted T channel field effect transistor device.
  161. Yoon,Jae Man; Jin,Gyo Young; Kang,Hee Soo; Park,Dong Gun, Method of forming fin field effect transistor.
  162. Ahn, Young Joon; Park, Dong Gun; Lee, Choong Ho; Kang, Hee Soo, Method of forming fin field effect transistor using damascene process.
  163. Maszara, Witold; Lin, Ming-Ren; Cho, Jin; Krivokapic, Zoran, Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material.
  164. Yao, Liang-Gi; Chen, Chia-Cheng; Kuan, Ta-Ming; Xu, Jeff J.; Wann, Clement Hsingjen, Method of forming semiconductor structure.
  165. Morin, Pierre; Rideau, Denis; Nier, Olivier, Method of introducing local stress in a semiconductor layer.
  166. Doyle,Brian S.; Datta,Suman; Kavalieros,Jack T.; Majumdar,Amlan, Method of ion implanting for tri-gate devices.
  167. Morin, Pierre; Rideau, Denis; Nier, Olivier, Method of locally stressing a semiconductor layer.
  168. Huang, Yu-Lien; Tsai, Chun Hsiung; Wu, Chii-Ming; Fang, Ziwei, Method of making a shallow trench isolation (STI) structures.
  169. Chidambarrao,Dureseti; Dokumaci,Omer, Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby.
  170. Lee,Chul; Yoon,Jae Man; Lee,Choong Ho, Method of manufacturing a fin field effect transistor.
  171. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  172. Zhang, Xiaoqiang; Zang, Hui; Thankalekshmi, Ratheesh R.; Mann, Randy W., Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails.
  173. Tsai, Chun Hsiung; Huang, Yu-Lien; Yu, De-Wei, Methods for doping Fin field-effect transistors and Fin field-effect transistor.
  174. Tsai, Chun Hsiung; Huang, Yu-Lien; Yu, De-Wei, Methods for doping fin field-effect transistors.
  175. Tsai, Chun Hsiung; Huang, Yu-Lien; Yu, De-Wei, Methods for doping fin field-effect transistors.
  176. Knorr, Andreas; Johnson, Frank Scott, Methods for fabricating bulk FinFET devices having deep trench isolation.
  177. You, Jung-Gun; Park, Se-Wan; Do, Seung-Woo; Park, In-Won; Sung, Sug-Hyun, Methods for fabricating semiconductor devices having fin-shaped patterns by selectively removing oxidized fin-shaped patterns.
  178. You, Jung-Gun; Park, Se-Wan; Do, Seung-Woo; Park, In-Won; Sung, Sug-Hyun, Methods for fabricating semiconductor devices having fin-shaped patterns by selectively removing oxidized portions of the fin-shaped patterns.
  179. Maszara, Witold; Adhikari, Hemant, Methods for forming isolated fin structures on bulk semiconductor material.
  180. Maszara, Witold; Adhikari, Hemant, Methods for forming isolated fin structures on bulk semiconductor material.
  181. Maszara, Witold; Adhikari, Hemant, Methods for forming isolated fin structures on bulk semiconductor material.
  182. Zhu,Huilong; Doris,Bruce B., Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom.
  183. Zhu, Huilong; Doris, Bruce B., Methods for manufacturing a finfet using a conventional wafer and apparatus manufactured therefrom.
  184. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  185. Xie, Ruilong; Miller, Robert, Methods of controlling fin height of FinFET devices by performing a directional deposition process.
  186. Cai, Xiuyu; Xie, Ruilong; Cho, Jin; Iacoponi, John, Methods of forming a dielectric cap layer on a metal gate structure.
  187. Tang, Sanh D.; Karda, Kamal M.; Mueller, Wolfgang; Dhir, Sourabh; Kerr, Robert; Hwang, Sangmin; Liu, Haitao, Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines.
  188. Cai, Xiuyu; Xie, Ruilong; Cheng, Kangguo; Khakifirooz, Ali, Methods of forming bulk FinFET devices by performing a recessing process on liner materials to define different fin heights and FinFET devices with such recessed liner materials.
  189. Pham, Daniel T.; Miller, Robert J.; Maitra, Kungsuk, Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same.
  190. Grisham, Paul E.; Haller, Gordon A.; Tang, Sanh D., Methods of forming field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells.
  191. Grisham, Paul; Haller, Gordon A.; Tang, Sahn D., Methods of forming field effect transistors, pluralities of field effect transistors, and DRAM circuitry comprising a plurality of individual memory cells.
  192. Yao, Liang-Gi; Chen, Chia-Cheng; Wann, Clement Hsingjen, Methods of forming gate dielectric material.
  193. Yao, Liang-Gi; Chen, Chia-Cheng; Wann, Clement Hsingjen, Methods of forming gate dielectric material.
  194. Ahn, Young Joon; Park, Dong Gun; Lee, Choong Ho; Kang, Hee Soo, Methods of forming integrated circuit devices having field effect transistors of different types in different device regions.
  195. Yu, De-Wei; Tsai, Chun Hsiung; Huang, Yu-Lien; Chan, Chien-Tai; Huang, Wen-Sheh, Methods of forming integrated circuits.
  196. Son,Yong Hoon; Choi,Si Young; Lee,Byeong Chan; Lee,Jong Wook; Jung,In Soo; Lee,Deok Hyung, Methods of forming semiconductor devices having buried oxide patterns.
  197. Wang, Yu-Ping, Methods of manufacturing semiconductor devices and transistors.
  198. Wang, Yu-Ping, Methods of manufacturing semiconductor devices and transistors.
  199. Wang, Yu-Ping, Methods of manufacturing semiconductor devices and transistors.
  200. Liu, Chi-Wen; Wang, Chao-Hsiung, Multi-Fin device and method of making same.
  201. Liu, Chi-Wen; Wang, Chao-Hsiung, Multi-fin device and method of making same.
  202. Liu, Chi-Wen; Wang, Chao-Hsiung, Multi-fin device and method of making same.
  203. Liu, Chi-Wen; Wang, Chao-Hsiung, Multi-fin device and method of making same.
  204. Basker, Veeraraghavan S.; Yamashita, Tenko; Yeh, Chun-chen, Multi-gate FinFET semiconductor device with flexible design width.
  205. Kavalieros, Jack T.; Brask, Justin K.; Datta, Suman; Doyle, Brian S.; Chau, Robert S., Multigate device with recessed strain regions.
  206. Krivokapic, Zoran; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Wang, Haihong; Yu, Bin, Narrow fin FinFET.
  207. Ahmed, Shibly S.; Lin, Ming-Ren; Wang, Haihong; Yu, Bin, Narrow fins by oxidation in double-gate finfet.
  208. Ahmed,Shibly S.; Wang,Haihong; Yu,Bin, Narrow-body damascene tri-gate FinFET.
  209. Brask,Justin K.; Kavalieros,Jack T.; Doyle,Brian S.; Chau,Robert S., Non-planar microelectronic device having isolation element to mitigate fringe effects and method to fabricate same.
  210. Doyle,Brian S; Datta,Suman; Jin,Been Yih; Zelick,Nancy M; Chau,Robert, Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow.
  211. Yang, Jeong hwan, Non-planar transistor having germanium channel region and method of manufacturing the same.
  212. Yang,Jeong hwan, Non-planar transistor having germanium channel region and method of manufacturing the same.
  213. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Datta,Suman; Jin,Been Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  214. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  215. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  216. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  217. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  218. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  219. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  220. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  221. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  222. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  223. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  224. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  225. Brask,Justin K.; Doyle,Brian S.; Kavalieros,Jack; Doczy,Mark; Shah,Uday; Chau,Robert S., Nonplanar transistors with metal gate electrodes.
  226. Jagannathan, Hemanth; Narayanan, Vijay; Paruchuri, Vamsi K., Planar and non-planar CMOS devices with multiple tuned threshold voltages.
  227. Huang, Yu-Lien; Lin, Chia-Pin; Wang, Sheng-Hsiung; Hsu, Fan-Yi; Tai, Chun-Liang, Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure.
  228. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  229. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  230. Chi, Min Hwa; Chiang, Wen Chuan; Chiang, Mu Chi, Quasi-plannar and FinFET-like transistors on bulk silicon.
  231. Jagannathan, Hemanth; Mehta, Sanjay C.; Wang, Junli; Yeh, Chun-Chen; Schmitz, Stefan, Replacement metal gate FinFET.
  232. Jagannathan, Hemanth; Mehta, Sanjay C.; Wang, Junli; Yeh, Chun-Chen; Schmitz, Stefan, Replacement metal gate FinFET.
  233. Jagannathan, Hemanth; Mehta, Sanjay C.; Wang, Junli; Yeh, Chun-Chen; Schmitz, Stefan, Replacement metal gate FinFET.
  234. Jagannathan, Hemanth; Mehta, Sanjay C.; Wang, Junli; Yeh, Chun-Chen; Schmitz, Stefan, Replacement metal gate FinFET.
  235. Jagannathan, Hemanth; Mehta, Sanjay C.; Wang, Junli; Yeh, Chun-Chen; Schmitz, Stefan, Replacement metal gate finFET.
  236. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., Replacement-gate FinFET structure and process.
  237. Anderson, Brent A.; Nowak, Edward J., SRAM cell.
  238. Chen, Hsueh-Chung; Fan, Su Chen; Tseng, Chiahsun; Yeh, Chun-Chen, STI region for small fin pitch in FinFET devices.
  239. Huang, Yu-Lien; Chung, Han-Pin; Wang, Shiang-Bau, STI structure and method of forming bottom void in same.
  240. Ahmed,Shibly S.; Yu,Bin, Sacrificial oxide for minimizing box undercut in damascene FinFET.
  241. Rachmady, Willy; Shah, Uday; Kavalieros, Jack T.; Doyle, Brian S., Selective anisotropic wet etching of workfunction metal for semiconductor devices.
  242. Tabery,Cyrus E.; Ahmed,Shibly S.; Buynoski,Matthew S.; Dakshina Murthy,Srikanteswara; Krivokapic,Zoran; Wang,Haihong; Yang,Chih Yuh; Yu,Bin, Self aligned damascene gate.
  243. Basker, Veeraraghavan S.; Leobandung, Effendi; Yamashita, Tenko; Yeh, Chun-Chen, Self-aligned structure for bulk FinFET.
  244. Fischer, Mark, Semiconductor constructions, DRAM arrays, and methods of forming semiconductor constructions.
  245. Chen, Chao-Hsuing; Chen, Hou-Yu; Lin, Chie-Iuan; Chao, Yuan-Shun; Li, Kuo Lung, Semiconductor device and manufacturing method thereof.
  246. Lee, Sang Don, Semiconductor device and method for fabricating the same.
  247. Yamagami, Shigeharu; Wakabayashi, Hitoshi; Takeuchi, Kiyoshi; Ogura, Atsushi; Tanaka, Masayasu; Nomura, Masahiro; Takeda, Koichi; Tatsumi, Toru; Watanabe, Koji; Terashima, Koichi, Semiconductor device and method for manufacturing same.
  248. Mann, Randy W., Semiconductor device and method of fabrication.
  249. Yoon, Jae-Man; Lee, Choong-Ho; Lee, Chul; Park, Dong-Gun, Semiconductor device having a fin structure and method of manufacturing the same.
  250. Yoon,Jae Man; Lee,Choong Ho; Lee,Chul; Park,Dong Gun, Semiconductor device having a fin structure and method of manufacturing the same.
  251. Sell, Bernhard, Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width.
  252. Maeda, Shigenobu; Yang, Jeong Hwan; Choi, Junga, Semiconductor device having a triple gate transistor and method for manufacturing the same.
  253. Maeda, Shigenobu; Yang, Jeong Hwan; Choi, Junga, Semiconductor device having a triple gate transistor and method for manufacturing the same.
  254. Maeda, Shigenobu; Yang, Jeong-Hwan; Choi, Junga, Semiconductor device having a triple gate transistor and method for manufacturing the same.
  255. Maeda,Shigenobu; Yang,Jeong Hwan; Choi,Junga, Semiconductor device having a triple gate transistor and method for manufacturing the same.
  256. Yu, Chen Hua; Yeh, Chen Nan; Hsu, Yu Rung, Semiconductor device having multiple fin heights.
  257. Yu, Chen-Hua; Yeh, Chen-Nan; Hsu, Yu-Rung, Semiconductor device having multiple fin heights.
  258. Yu, Chen-Hua; Yeh, Chen-Nan; Hsu, Yu-Rung, Semiconductor device having multiple fin heights.
  259. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  260. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  261. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  262. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  263. Taylor, Ted; Yang, Xiawan, Semiconductor devices, assemblies and constructions.
  264. Chen,Hung Wei; Yeo,Yee Chia; Lee,Di Hong; Yang,Fu Liang; Hu,Chenming, Semiconductor nano-wire devices and methods of fabrication.
  265. Li, Jhen-Cyuan; Lu, Shui-Yen; Wu, Yen-Liang, Semiconductor structure.
  266. Knorr, Andreas; Johnson, Frank Scott, Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices.
  267. Booth, Jr., Roger Allen; Mandelman, Jack Allan; Tonti, William Robert, Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures.
  268. Iyer, Subramanian S.; Nowak, Edward J., Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer.
  269. Iyer, Subramanian S.; Nowak, Edward J., Semiconductor-on-insulator (SOI) structure and method of forming the SOI structure using a bulk semiconductor starting wafer.
  270. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  271. Yeo,Yee Chia; Yang,Fu Liang; Hu,Chenming, Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors.
  272. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating partially-depleted, fully-depleted, and multiple-gate devices.
  273. Bi, Zhenxing; Cheng, Kangguo; Miao, Bruce; Miao, Xin, Shallow trench isolation recess process flow for vertical field effect transistor fabrication.
  274. Bi, Zhenxing; Cheng, Kangguo; Miao, Bruce; Miao, Xin, Shallow trench isolation recess process flow for vertical field effect transistor fabrication.
  275. Doyle,Brian S; Rakshit,Titash; Chau,Robert S; Datta,Suman; Brask,Justin K; Shah,Uday, Stacked multi-gate transistor design and method of fabrication.
  276. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  277. He, Hong; Kanakasabapathy, Siva; Yin, Yunpeng; Tseng, Chiahsun; Wang, Junli, Stop layer through ion implantation for etch stop.
  278. Huang, Yi-Chun; Wang, Yen-Ping; Ko, Chih-Hsin, Strained channel transistor formation.
  279. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  280. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  281. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  282. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  283. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  284. Yeo,Yee Chia; Yang,Fu Liang, Structure and method for forming the gate electrode in a multiple-gate transistor.
  285. Yeo,Yee Chia; Yang,Fu Liang, Structure and method for forming the gate electrode in a multiple-gate transistor.
  286. Zhu,Huilong; Doris,Bruce B., Structure and method of manufacturing a finFET device having stacked fins.
  287. Chen, Hung-Wei; Zhong, Tang-Xuan; Liu, Sheng-Da; Chang, Chang-Yu; Wu, Ping-Kun; Wang, Chao-Hsiung; Yang, Fu-Liang, Structure for a multiple-gate FET device and a method for its fabrication.
  288. Chen, Hung-Wei; Zhong, Tang-Xuan; Liu, Sheng-Da; Chang, Chang-Yun; Wu, Ping-Kun; Wang, Chao-Hsiung; Yang, Fu-Liang, Structure for a multiple-gate FET device and a method for its fabrication.
  289. Chen, Hung-Wei; Zhong, Tang-Xuang; Liu, Sheng-Da; Chang, Chang-Yun; Wu, Ping-Kun; Wang, Chao-Hsiung; Yang, Fu-Liang, Structure for a multiple-gate FET device and a method for its fabrication.
  290. Chen,Hung Wei; Zhong,Tang Xuan; Liu,Sheng Da; Chang,Chang Yun; Wu,Ping Kun; Wang,Chao Hsiung; Yang,Fu Liang, Structure for a multiple-gate FET device and a method for its fabrication.
  291. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman, Substrate band gap engineered multi-gate pMOS devices.
  292. Godet, Ludovic; Hatem, Christopher R.; Papasouliotis, George D., Techniques for forming 3D structures.
  293. Anderson,Brent A.; Nowak,Edward J.; Rankin,Jed H.; Clark, Jr.,William F., Thermal dissipation structures for FinFETs.
  294. Anderson,Brent A.; Nowak,Edward J.; Rankin,Jed H.; Clark, Jr.,William F., Thermal dissipation structures for finfets.
  295. Yoon, Jae Man; Lee, Choong Ho; Park, Dong Gun; Lee, Chul, Transistor and method of forming the same.
  296. Tseng, Chih-Hung; Lin, Da-Wen; Chan, Chien-Tai; Lin, Chia-Pin; Weng, Li-Wen; Chang, An-Shen; Wu, Chung-Cheng, Transistor having notched fin structure and method of making the same.
  297. Liu, Kaiping, Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation.
  298. Ban,Ibrahim; Chang,Peter L. D., Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate.
  299. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  300. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  301. Metz,Matthew V.; Datta,Suman; Doczy,Mark L.; Kavalieros,Jack T.; Brask,Justin K.; Chau,Robert S., Uniform silicide metal on epitaxially grown source and drain regions of three-dimensional transistors.
  302. Hsu, Tzu-Hsuan; Lue, Hang-Ting, Vertical channel memory and manufacturing method thereof and operating method using the same.
  303. Hsu, Tzu-Hsuan; Shih, Yen-Hao; Wu, Chia-Wei, Vertical channel transistor structure and manufacturing method thereof.
  304. Hsu, Tzu-Hsuan; Shih, Yen-Hao; Wu, Chia-Wei, Vertical channel transistor structure and manufacturing method thereof.
  305. Anderson, Brent A.; Breitwisch, Matthew J.; Nowak, Edward J.; Rainey, BethAnn, Virtual body-contacted trigate.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로