$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Chemical vapor deposition of barriers from novel precursors 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/06
  • C23C-016/34
출원번호 US-0505638 (2000-02-16)
발명자 / 주소
  • Parkhe, Vijay D.
  • Hausmann, Gilbert
  • Kalyanam, Jagadish
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson & Sheridan LLP
인용정보 피인용 횟수 : 202  인용 특허 : 7

초록

The present invention provides a method and precursor for forming a metal and/or metal nitride layer on the substrate by chemical vapor deposition. The organometallic precursor has the formula of (Cp(R)n)xMHy−x, where Cp is a cyclopentadienyl functional group, R is a substituent on the cyclope

대표청구항

1. A method for processing a substrate, comprising: a. depositing a metal film on the substrate by the decomposition of a first organometallic precursor in the presence of a processing gas; then b. depositing a metal nitride film on the metal film by the decomposition of a second organometallic

이 특허에 인용된 특허 (7)

  1. Kaesz Herbert D. (Los Angeles CA) Hicks Robert F. (Los Angeles CA), Catalysis in organometallic CVD of thin metal films.
  2. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of transistion metals.
  3. Jones Raymond Vincent Heavon,GBX ; Standen Michael Charles Henry ; Whitby Richard John,GBX ; Bell Jane Louise,GBX, Chiral organometallic compounds.
  4. Jagadish Kalyanam, Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors.
  5. Hicks Robert F. (Los Angeles CA) Kaesz Herbert D. (Los Angeles CA) Xu Dagiang (Los Angeles CA), Low temperature organometallic deposition of metals.
  6. Kaloyeros Alain E. ; Welch John T. ; Toscano Paul J. ; Claessen Rolf ; Kornilov Andrei,UAX ; Banger Kulbinder Kumar, MOCVD processes using precursors based on organometalloid ligands.
  7. Lai Gilbert ; Sandhu Gurtej S. ; Iyer Ravi ; Vaartstra Brian A., Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer.

이 특허를 인용한 특허 (202)

  1. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  2. Metzner, Craig R.; Kher, Shreyas S.; Gopal, Vidyut; Han, Shixue; Athreya, Shankarram A., ALD metal oxide deposition process using direct oxidation.
  3. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  4. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  5. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  6. Lee, Wei Ti; Hassan, Mohd Fadzli Anwar; Guo, Ted; Yu, Sang-Ho, Aluminum contact integration on cobalt silicide junction.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Lubomirsky,Dmitry; Shanmugasundram,Arulkumar; Pancham,Ian A.; Lopatin,Sergey, Apparatus for electroless deposition.
  11. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Ellwanger, Russell; Pancham, Ian A.; Cheboli, Ramakrishna; Weidman, Timothy W., Apparatus for electroless deposition of metals onto semiconductor substrates.
  12. Lubomirsky, Dmitry; Shanmugasundram, Arulkumar; Pancham, Ian A., Apparatus for electroless deposition of metals onto semiconductor substrates.
  13. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  14. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  15. Lubomirsky, Dmitry, Chamber with flow-through source.
  16. Lubomirsky, Dmitry, Chamber with flow-through source.
  17. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  18. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  19. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  20. Marsh, Eugene P.; Atwell, David R., Chemical vaporizer for material deposition systems and associated methods.
  21. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  22. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  23. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  24. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  25. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  26. Weidman, Timothy W.; Wijekoon, Kapila P.; Zhu, Zhize; Gelatos, Avgerinos V. (Jerry); Khandelwal, Amit; Shanmugasundram, Arulkumar; Yang, Michael X.; Mei, Fang; Moghadam, Farhad K., Contact metallization scheme using a barrier layer over a silicide layer.
  27. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  28. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  29. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  30. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  31. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  32. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  33. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  34. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  35. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  36. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  37. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  38. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  39. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  40. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  41. Padhi, Deenesh; Yahalom, Joseph; Ramanathan, Sivakami; McGuirk, Chris R.; Gandikota, Srinivas; Dixit, Girish, Electroless deposition method.
  42. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  43. Stewart, Michael P.; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Eaglesham, David J., Electroless deposition process on a silicon contact.
  44. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  45. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  46. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  47. Tsuji, Norihiko, Exhaust trap device.
  48. Tsuji, Norihiko, Exhaust trap device.
  49. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  50. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  51. Narwankar, Pravin K.; Higashi, Gregg, Formation of a silicon oxynitride layer on a high-k dielectric material.
  52. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  53. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  54. Seutter, Sean M.; Yang, Michael X.; Xi, Ming, Formation of a tantalum-nitride layer.
  55. Seutter,Sean M.; Yang,Michael X.; Xi,Ming, Formation of a tantalum-nitride layer.
  56. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  57. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  58. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  59. Lansalot-Matras, Clément; Lieffrig, Julien; Ishii, Hana; Dussarrat, Christian, Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films.
  60. Lansalot-Matras, Clement; Lieffrig, Julien; Ishii, Hana; Dussarrat, Christian, Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films.
  61. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  62. Ishikawa, Tetsuya; Quach, David H.; Chang, Anzhong; Kryliouk, Olga; Melnik, Yuriy; Ratia, Harsukhdeep S.; Nguyen, Son T.; Pang, Lily, HVPE precursor source hardware.
  63. Dussarrat, Christian; Girard, Jean-Marc; Ishii, Hana; Lansalot-Matras, Clément; Lieffrig, Julien, Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films.
  64. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  65. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  66. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  67. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  68. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  69. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  70. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  71. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  72. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  73. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  74. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  75. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  76. Chua, Thai Cheng; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Paterson, Alex M.; Todorov, Valentin; Holland, John P., Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system.
  77. Olsen, Christopher Sean; Chua, Thai Cheng; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Paterson, Alex M.; Todorow, Valentin; Holland, John P., Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system.
  78. Lopatin,Sergey; Shanmugasundram,Arulkumar; Lubomirsky,Dmitry; Pancham,Ian A., Method for forming CoWRe alloys by electroless deposition.
  79. Gatineau, Satoko; Dussarrat, Christian; Lachaud, Christophe; Blasco, Nicolas; Pinchart, Audrey; Wang, Ziyun; Girard, Jean-Marc; Zauner, Andreas, Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ALD) process.
  80. Kao, Chien-Teh; Chou, Jing-Pei (Connie); Lai, Chiukin (Steven); Umotoy, Sal; Huston, Joel M.; Trinh, Son; Chang, Mei; Yuan, Xiaoxiong (John); Chang, Yu; Lu, Xinliang; Wang, Wei W.; Phan, See-Eng, Method for removing oxides.
  81. Yoon, Soon-Gil; Seong, Nak-Jin; Jeon, Sang-Yong, Method for room temperature chemical vapor deposition on flexible polymer substrates.
  82. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of depositing a metal-containing dielectric film.
  83. Chua, Thai Cheng; Paterson, Alex M.; Hung, Steven; Liu, Patricia M.; Sato, Tatsuya; Todorow, Valentin; Holland, John P., Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus.
  84. Ko, Jungmin, Method of fin patterning.
  85. Beekmann,Knut; Giles,Kathrine, Method of forming a diffusion barrier.
  86. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  87. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  88. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  89. Blasco, Nicolas; Dussarrat, Christian, Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing.
  90. Fang, Li-Yen; Tsao, Jung-Chih; Liang, Yao-Hsiang; Lin, Yu-Ku, Method of manufacturing semiconductor device with recess.
  91. Heller, Christian Maria Anton; Duggal, Anil Raj; Coyle, Dennis Joseph; Yan, Min; Erlat, Ahmet Gün; Zhao, Ri-an, Method of processing multilayer film.
  92. Aube, Michel; De Person, Pierre, Method of treating an oxidized layer of metal nitride.
  93. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  94. Garg,Diwakar; Cheng,Hansong; Norman,John Anthony Thomas; Machado,Eduardo; Ordejon,Pablo, Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes.
  95. Garg, Diwakar; Cheng, Hansong; Norman, John Anthony Thomas; Machado, Eduardo; Ordejon, Pablo, Methods for depositing metal films onto diffusion barrier layers by CVD or ALD processes.
  96. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  97. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  98. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  99. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  100. Currie,Matthew T., Methods for forming dielectrics and metal electrodes.
  101. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  102. Anderson, Felix P.; Barkyoumb, Steven P.; Cooney, III, Edward C.; McDevitt, Thomas L.; Murphy, William J.; Strippe, David C., Methods of manufacturing semiconductor devices and a semiconductor structure.
  103. Kang, Sang-Bom; Choi, Kyung-In; Lee, You-Kyoung; Park, Seong-Geon; Choi, Gil-Heyun; Lee, Jong-Myeong; Lee, Sang-Woo, Methods of producing integrated circuit devices utilizing tantalum amine derivatives.
  104. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  105. Blasco, Nicolas; Correia-Anacleto, Anthony; Pinchart, Audrey; Zauner, Andreas, Niobium and vanadium organometallic precursors for thin film deposition.
  106. Blasco, Nicolas; Correia-Anacleto, Antony; Pinchart, Audrey; Zauner, Andreas, Niobium and vanadium organometallic precursors for thin film deposition.
  107. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  108. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  109. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  110. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  111. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  112. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  113. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  114. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  115. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  116. Tsai,Jian Shin; Chou,Yu Hua; Luo,Tzo Hung; Tseng,Chi Chan; Zhang,Wei; Yang,Jong Chen, Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance.
  117. Tsai,Jian Shin; Chou,Yu Hua; Luo,Tzo Hung; Tseng,Chi Chan; Zhang,Wei; Yang,Jong Chen, Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance.
  118. Tsai,Jian Shin; Chou,Yu Hua; Luo,Tzo Hung; Tseng,Chi Chan; Zhang,Wei; Yang,Jong Chen, Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance.
  119. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  120. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  121. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  122. Van Aerde, Steven R. A.; de Blank, Rene, Prevention of oxidation of substrate surfaces in process chambers.
  123. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  124. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  125. Lu,Jiong Ping; Smith,Patricia B., Process for defect reduction in electrochemical plating.
  126. Lubomirsky, Dmitry; Weidman, Timothy W.; Shanmugasundram, Arulkumar; Kovarsky, Nicolay Y.; Wijekoon, Kapila, Process for electroless copper deposition.
  127. Shih, Chien Hsueh; Yu, Chen Hua, Process for low resistance metal cap.
  128. Shih,Chien Hsueh; Yu,Chen Hua, Process for making a metal seed layer.
  129. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  130. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  131. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  132. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  133. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  134. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  135. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  136. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  137. Yoshimi, Tatsuya; de Blank, Rene; Noiray, Jerome, Protection of conductors from oxidation in deposition chambers.
  138. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  139. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  140. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  141. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  142. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  143. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  144. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  145. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  146. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  147. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  148. Weidman,Timothy W., Ruthenium containing layer deposition method.
  149. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  150. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  151. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  152. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  153. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  154. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  155. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  156. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  157. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  158. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  159. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  160. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  161. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  162. Noiray, Jerome; Granneman, Ernst H. A., Selective oxidation process.
  163. Noiray, Jerome; Granneman, Ernst H. A., Selective removal of oxygen from metal-containing materials.
  164. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  165. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  166. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  167. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  168. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  169. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  170. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  171. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  172. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  173. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  174. Lee,Han Choon, Semiconductor device and method for manufacturing the same.
  175. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  176. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  177. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  178. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  179. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  180. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  181. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  182. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  183. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  184. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  185. Lansalot-Matras, Clement; Lieffrig, Julien; Ishii, Hana; Dussarrat, Christian, Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films.
  186. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  187. Lopatin,Sergey D.; Shanmugasundrum,Arulkumar; Shacham Diamand,Yosef, Silver under-layers for electroless cobalt alloys.
  188. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  189. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  190. Sekimoto, Kenichi; Tada, Ken ichi; Takamori, Mayumi; Yamakawa, Tetsu; Furukawa, Taishi; Oshima, Noriaki, Tantalum compound, method for producing same, tantalum-containing thin film and method for forming same.
  191. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  192. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  193. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  194. Shih, Chien-Hsueh; Shue, Shau-Lin, Transitional interface between metal and dielectric in interconnect structures.
  195. Shih, Chien-Hsueh; Shue, Shau-Lin, Transitional interface between metal and dielectric in interconnect structures.
  196. Lavoie, Adrien R.; Dubin, Valery M.; Plombon, John J.; Dominguez, Juan E.; Simka, Harsono S.; Han, Joseph H.; Doczy, Mark, Tunable gate electrode work function material for transistor applications.
  197. Lavoie, Adrien R.; Dubin, Valery M.; Plombon, John J.; Dominguez, Juan E.; Simka, Harsono S.; Han, Joseph H.; Doczy, Mark, Tunable gate electrode work function material for transistor applications.
  198. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  199. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  200. Merle, Nicolas; Danielle, Stéphane; Dussarrat, Christian; Blasco, Nicolas, Use of group V metal containing precursors for a process of depositing a metal containing film.
  201. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  202. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로