$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method of decreasing the k value in sioc layer deposited by chemical vapor deposition 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/4763
  • H01L-021/02
출원번호 US-0789209 (2004-02-27)
발명자 / 주소
  • Gaillard,Frederic
  • Xia,Li Qun
  • Lim,Tian Hoe
  • Yieh,Ellie
  • Yau,Wai Fan
  • Jeng,Shin Puu
  • Liu,Kuowei
  • Lu,Yung Cheng
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Moser, Patterson &
인용정보 피인용 횟수 : 1  인용 특허 : 99

초록

A method for processing a substrate including depositing a dielectric layer containing silicon, oxygen, and carbon on the substrate by chemical vapor deposition, wherein the dielectric layer has a carbon content of at least 1% by atomic weight and a dielectric constant of less than about 3, and depo

대표청구항

What is claimed is: 1. A method for processing a substrate, comprising: reacting an organosilicon compound with carbon dioxide and one or more oxidizing gases selected from the group consisting of oxygen, ozone, nitrous oxide, carbon monoxide, and water to deposit a dielectric layer comprising sili

이 특허에 인용된 특허 (99)

  1. Felts John T. (Alameda CA) Chatham ; III Hood (Fairfield CA) Countrywood Joseph (Napa CA) Nelson Robert J. (Walnut Creek CA), Apparatus for rapid plasma treatments and method.
  2. Todd, Michael A., CVD of porous dielectric materials.
  3. Cheung David ; Yau Wai-Fan ; Mandal Robert R., CVD plasma assisted low dielectric constant films.
  4. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  5. Goel Arvind (Buffalo NY) Bray Donald J. (East Amherst NY) Martin Steven C. (Williamsville NY) Blakely Keith A. (Buffalo NY), Capacitive thin films using diamond-like nanocomposite materials.
  6. Conti, Richard A.; Dev, Prakash Chimanlal; Dobuzinsky, David M.; Edelstein, Daniel C.; Lee, Gill Y.; Low, Kia-Seng; Shafer, Padraic C.; Simpson, Alexander; Wrschka, Peter, Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates.
  7. O\Neal Harry E. (San Diego CA) Ring Morey A. (San Diego CA) Martin John G. (El Cajon CA), Chemical vapor deposition (CVD) of silicon dioxide films using oxygen-silicon source reactants and a free radical promot.
  8. Morita Katsumi (Matsudo JPX), Chemical vapor deposition method of silicon dioxide film.
  9. Mountsier Thomas Weller, Chemical vapor deposition of low density silicon dioxide films.
  10. Jenkins Michael S. (Longton GB2) Simpson Andrew F. (Hesketh Bank GB2) Porter David A. (Birkdale GB2), Coatings on glass.
  11. Farmer Peter H. (Longmeadow MA) Ho Stanley S. (Wilbraham MA) Riek Raymond F. (Wilbraham MA) Woodard Floyd E. (Olivette MO), Composite solar/safety film and laminated window assembly made therefrom.
  12. Steven Carter GB; Christine Janet Shearer GB, Deposition of a siloxane containing polymer.
  13. Roberts David A. (Carlsbad CA) Hochberg Arthur K. (Solana Beach CA), Deposition of silicon dioxide films at temperatures as low as 100 degree C. by LPCVD using organodisilane sources.
  14. Hochberg Arthur K. (1037 Santa Queta Solana Beach CA 92075) O\Meara David L. (632 S. Freeman Oceanside CA 92054), Deposition of silicon oxide films using alkylsilane liquid sources.
  15. Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  16. Cohen Stephen A. (Wappingers Falls NY) Edelstein Daniel C. (New Rochelle NY) Grill Alfred (White Plains NY) Paraszczak Jurij R. (Pleasantville NY) Patel Vishnubhai V. (Yorktown NY), Diamond-like carbon for use in VLSI and ULSI interconnect systems.
  17. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow RUX), Diamond-like metallic nanocomposites.
  18. Yu Chen-Hua D. (Allentown PA), Dielectric deposition.
  19. Grill Alfred ; Hummel John Patrick ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Saenger Katherine Lynn, Dual damascene processing for semiconductor chip interconnects.
  20. Teong Su-Ping (Singapore SGX), Etch stop for copper damascene process.
  21. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP; Tomomi Suzuki JP; Hiroshi Ikakura JP; Youichi Yamamoto JP; Yuichiro Kotake JP; Shoji Ohgawara JP; Makoto Kurotobi JP, Fabrication of a semiconductor device with an interlayer insulating film formed from a plasma devoid of an oxidizing agent.
  22. Laxman Ravi K. (Encinitas CA) Hochberg Arthur K. (Solana Beach CA) Roberts David A. (Escondido CA) Vrtis Raymond N. (LaCosta CA), Fluorine doped silicon oxide process.
  23. Petrmichl Rudolph H. (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian K. (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  24. Petrmichl Rudolph Hugo (Center Valley PA) Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Daniels Brian Kenneth (Emmaus PA), Highly abrasion-resistant, flexible coatings for soft substrates.
  25. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  26. Knapp Bradley J. (Kutztown PA) Kimock Fred M. (Macungie PA) Petrmichl Rudolph H. (Center Valley PA) Galvin Norman D. (Easton PA), Ion beam process for deposition of highly abrasion-resistant coatings.
  27. Petrmichl Rudolph Hugo ; Mahoney Leonard Joseph ; Venable III Ray Hays ; Galvin Norman Donald ; Knapp Bradley J. ; Kimock Fred Michael, Ion beam process for deposition of highly wear-resistant optical coatings.
  28. Sandhu Gurtej S. ; Batra Shubneesh, Laser ablative removal of photoresist.
  29. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  30. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Low power method of depositing a low k dielectric with organo silane.
  31. Mitchener James C. (Mountain View CA), Low temperature chemical vapor deposition of silicon dioxide films.
  32. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  33. Foo Pang-Dow (Berkeley Heights NJ) Huo Tai-Chan D. (New Providence NJ) Yan Man F. (Berkeley Heights NJ), Method for depositing dielectric layers.
  34. Grill, Alfred; Patel, Vishnubhai V., Method for fabricating an ultralow dielectric constant material.
  35. Grill, Alfred; Patel, Vishnubhai V., Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device.
  36. Ishihara Shunichi (Ebina JPX) Hanna Junichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a deposited film.
  37. Fukada Takashi,JPX, Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma.
  38. Maeda, Kazuo, Method for forming an interlayer insulating film, and semiconductor device.
  39. Dorfman Veniamin (8 Norman Dr. Shoreham NY 11786) Pypkin Boris (Moscow SUX), Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films.
  40. Fiordalice Robert W. (Austin TX) Maniar Papu D. (Austin TX) Klein Jeffrey L. (Austin TX), Method for forming inlaid interconnects in a semiconductor device.
  41. Chiang Chien ; Fraser David B., Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections.
  42. Chiang Chien ; Fraser David B., Method for forming multileves interconnections for semiconductor fabrication.
  43. Tsui Bing-Yue,TWX, Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrat.
  44. Chow Melanie M. (Poughquag NY) Cronin John E. (Milton VT) Guthrie William L. (Hopewell Junction NY) Kaanta Carter W. (Essex Junction VT) Luther Barbara (Devon PA) Patrick William J. (Newburgh NY) Per, Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive line.
  45. Loboda Mark Jon ; Seifferly Jeffrey Alan, Method for producing hydrogenated silicon oxycarbide films having low dielectric constant.
  46. Endo Morinobu (Nagano JPX) Takamizawa Minoru (Tokyo JPX) Hongu Tatsuhiko (Kanagawa JPX) Ueno Susumu (Ibaraki JPX), Method for providing a coating layer of silicon carbide on the surface of a substrate.
  47. Bennett Brian R. (Redford MA) Lorenzo Joseph P. (Stow MA) Vaccaro Kenneth (Medford MA), Method for the deposition of high quality silicon dioxide at low temperature.
  48. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition.
  49. Gaillard, Frederic; Xia, Li-Qun; Lim, Tian-Hoe; Yieh, Ellie; Yau, Wai-Fan; Jeng, Shin-Puu; Liu, Kuowei; Lu, Yung-Cheng, Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition.
  50. Yau Wai-Fan ; Cheung David ; Jeng Shin-Puu ; Liu Kuowei ; Yu Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  51. Yau, Wai-Fan; Cheung, David; Jeng, Shin-Puu; Liu, Kuowei; Yu, Yung-Cheng, Method of depositing a low k dielectric with organo silane.
  52. Yahiro Kazuyuki (Kawasaki JPX), Method of depositing a reflow SiO2 film.
  53. Kaganowicz Grzegorz (Princeton NJ), Method of depositing a silicon oxide layer.
  54. Nemani, Srinivas D; Xia, Li-Qun; Sugiarto, Dian; Yieh, Ellie; Xu, Ping; Campana-Schmitt, Francimar; Lee, Jia, Method of depositing dielectric films.
  55. Huang, Tzu-Fang; Lu, Yung-Cheng; Xia, Li-Qun; Yieh, Ellie; Yau, Wai-Fan; Cheung, David W.; Willecke, Ralf B.; Liu, Kuowei; Lee, Ju-Hyung; Moghadam, Farhad K.; Ma, Yeming Jim, Method of depositing low k films using an oxidizing plasma.
  56. Havemann Robert H. ; Stoltz Richard A., Method of dual masking for selective gap fill of submicron interconnects.
  57. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Method of forming a plasma polymerized film.
  58. Loboda Mark J. (Midland MI), Method of forming crystalline silicon carbide coatings.
  59. Maeda Kazuo (Tokyo JPX) Tokumasu Noboru (Tokyo JPX) Yuyama Yoshiaki (Tokyo JPX), Method of forming insulating film.
  60. Sugahara Gaku,JPX ; Aoi Nobuo,JPX ; Arai Koji,JPX ; Sawada Kazuyuki,JPX, Method of forming interlayer insulating film.
  61. Sato Nobuyoshi (Chiba JPX) Tokunaga Kyoji (Chiba JPX) Katagiri Tomoharu (Chiba JPX) Hashimoto Tsuyoshi (Chiba JPX) Ohta Tomohiro (Chiba JPX), Method of forming interlayer-insulating film using ozone and organic silanes at a pressure above atmospheric.
  62. Foo Pang-Dow (Berkeley Heights NJ) Manocha Ajit S. (Allentown PA) Miner John F. (Piscataway NJ) Pai Chien-Shing (Bridgewater NJ), Method of forming oxide layers by bias ECR plasma deposition.
  63. Lagendijk Andre (Oceanside CA), Method of forming silicon dioxide glass films.
  64. Akram Salman ; Futrell John R. C. ; McDonald Steven M., Method of making a metallized recess in a substrate.
  65. Havemann Robert H. (Garland TX) Jeng Shin-Puu (Plano TX) Gnade Bruce E. (Rowlett TX) Cho Chih-Chen (Richardson TX), Method of making an interconnect structure with an integrated low density dielectric.
  66. Brochot Jean-Pierre (Paris FRX) Sohier Philippe (Liancourt FRX) Ceccaroli Bruno (Svelgen NOX), Method of making coated glass substrates.
  67. Rose Peter ; Lopata Eugene ; Felts John, Method of making low .kappa. dielectric inorganic/organic hybrid films.
  68. Hashimoto Hidetsuna (Kawasaki JPX), Method of manufacturing semiconductor device having multilayer interconnection.
  69. Smits Jacobus W. M. (Eindhoven NLX), Method of providing silicon dioxide layer on a substrate by means of chemical reaction from the vapor phase at a low pre.
  70. Dobson Christopher David,GBX ; Kiermasz Adrian,GBX, Method of treating a semi-conductor wafer.
  71. Patrick A. Van Cleemput ; Ravi Kumar Laxman ; Jen Shu ; Michelle T. Schulberg ; Bunsen Nie, Method to deposit SiOCH films with dielectric constant below 3.0.
  72. Beekman Knut,GBX ; Kiermasz Adrian,GBX ; McClatchie Simon,GBX ; Taylor Mark Philip,GBX ; Timms Peter Leslie,GBX, Methods and apparatus for treating a semiconductor substrate.
  73. Vrtis, Raymond Nicholas; O'Neill, Mark Leonard; Vincent, Jean Louise; Lukas, Aaron Scott; Xiao, Manchao; Norman, John Anthony Thomas, Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants.
  74. Sacher, Edward; Wertheimer, Michael R.; Schreiber, Henry P., Moisture impermeability or organosilicone films.
  75. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  76. Dobuzinsky David M. (Hopewell Junction NY) Matsuda Tetsuo (Poughkeepsie NY) Nguyen Son V. (Hopewell Junction NY) Ryan James G. (Newton CT) Shapiro Michael (Beacon NY), PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element.
  77. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  78. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  79. Cheung, David; Yau, Wai-Fan; Mandal, Robert P.; Jeng, Shin-Puu; Liu, Kuo-Wei; Lu, Yung-Cheng; Barnes, Michael; Willecke, Ralf B.; Moghadam, Farhad; Ishikawa, Tetsuya; Poon, Tze Wing, Plasma processes for depositing low dielectric constant films.
  80. David Cheung ; Wai-Fan Yau ; Robert P. Mandal ; Shin-Puu Jeng TW; Kuo-Wei Liu ; Yung-Cheng Lu ; Michael Barnes ; Ralf B. Willecke ; Farhad Moghadam ; Tetsuya Ishikawa ; Tze Wing Poon, Plasma processes for depositing low dielectric constant films.
  81. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  82. Malba Vincent, Process for 3D chip stacking.
  83. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  84. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  85. Williams Joel L. (Cary NC) Burkett Susan L. (Hillsborough NC) McGuire Shel (Omaha NE), Process for barrier coating of plastic objects.
  86. Jain Ajay, Process for forming a semiconductor device.
  87. Chhabra Navjot (Boise ID) Powell Eric A. (Boise ID) Morgan Rodney D. (Boise ID), Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced.
  88. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  89. Friedt Jean-Marie (Tokyo JPX) Claverie Pierre (Tsuchiura JPX) Perrin Jme (Paris FRX), Process for producing a deposit of an inorganic and amorphous protective coating on an organic polymer substrate.
  90. Endres James A. (Clearwater FL) DiRenzi Francis J. (Palm Harbor FL) Hecht Gideon (Seminole FL), Programmable boat lift control system.
  91. Hu Ing-Feng (Midland MI) Tou James C. (Midland MI), Protective film for articles and method.
  92. Heinecke Rudolf A. H. (Harlow GB2) Ojha Suresh M. (Harlow GB2) Llewellyn Ian P. (Harlow GB2), Pulsed plasma process for treating a substrate.
  93. Matsuura Masazumi (Hyogo-ken JPX), Semiconductor device and method of fabricating the same.
  94. Yoshimi Shioya JP; Kouichi Ohira JP; Kazuo Maeda JP, Semiconductor device and method of manufacturing the same.
  95. Matsuura Masazumi (Hyogo JPX), Semiconductor device comprising an SiOF insulative film.
  96. Loboda Mark Jon ; Michael Keith Winton, Silicon carbide metal diffusion barrier layer.
  97. Wood Thomas E. (Chandler AZ) Hughes Henry G. (Scottsdale AZ), Spin-on glass for use in semiconductor processing.
  98. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  99. Grill, Alfred; Patel, Vishnubhai V., Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made.

이 특허를 인용한 특허 (1)

  1. Ren, He; Naik, Mehul B.; Cao, Yong; Kesapragada, Sree Rangasai V.; Shek, Mei-Yee; Cheng, Yana, Methods for forming passivation protection for an interconnection structure.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로