$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Nonplanar device with stress incorporation layer and method of fabrication 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/8238
  • H01L-021/70
출원번호 US-0173443 (2005-06-30)
발명자 / 주소
  • Hareland,Scott A.
  • Chau,Robert S.
  • Doyle,Brian S.
  • Datta,Suman
  • Jin,Been Yih
출원인 / 주소
  • Intel Corporation
대리인 / 주소
    Blakely, Sokoloff, Taylor & Zafman LLP
인용정보 피인용 횟수 : 88  인용 특허 : 72

초록

초록이 없습니다.

대표청구항

대표청구항이 없습니다.

이 특허에 인용된 특허 (72)

  1. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  2. Hanafi, Hussein I.; Brown, Jeffrey J.; Natzle, Wesley C., Damascene double-gate MOSFET with vertical channel regions.
  3. Lee Sangin (Suwon KRX), Dielectric medium for capacitor of semiconductor device.
  4. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Double gate semiconductor device having separate gates.
  5. James W. Adkisson ; Paul D. Agnello ; Arne W. Ballantine ; Rama Divakaruni ; Erin C. Jones ; Jed H. Rankin, Double gate trench transistor.
  6. Gerhard Enders ; Thomas Schulz DE; Dietrich Widmann DE; Lothar Risch DE, Double gated transistor.
  7. James W. Adkisson ; John A. Bracchitta ; John J. Ellis-Monaghan ; Jerome B. Lasky ; Effendi Leobandung ; Kirk D. Peterson ; Jed H. Rankin, Double planar gated SOI MOSFET structure.
  8. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, Double spacer FinFET formation.
  9. Sekigawa, Toshihiro; Ishii, Kenichi; Suzuki, Eiichi, Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same.
  10. Dakshina-Murthy, Srikanteswara; Yang, Chih-Yuh; Yu, Bin, Epitaxially grown fin for FinFET.
  11. Joshi, Rajiv V; Williams, Richard Q, FET channel having a strained lattice structure along multiple surfaces.
  12. Yu, Bin, Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology.
  13. Bin Yu, Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology.
  14. Luyken, Richard Johannes; Schlösser, Till; Haneder, Thomas Peter; Hönlein, Wolfgang; Kreupl, Franz, Field effect transistor.
  15. Mukai Mikio,JPX, Field effect transistor having multiple gate electrodes surrounding the channel region.
  16. Fried, David M.; Nowak, Edward J.; Rainey, Beth A; Sadana, Devendra K., Fin FET devices from bulk semiconductor and method for forming.
  17. Gambino, Jeffrey P.; Lasky, Jerome B.; Rankin, Jed H., Fin field effect transistor with self-aligned gate.
  18. Chenming Hu ; Tsu-Jae King ; Vivek Subramanian ; Leland Chang ; Xuejue Huang ; Yang-Kyu Choi ; Jakub Tadeusz Kedzierski ; Nick Lindert ; Jeffrey Bokor ; Wen-Chin Lee, Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture.
  19. Chao Fung-Ching (Tainan Shih TWX), Inverse-T LDDFET with self-aligned silicide.
  20. Ando, Yoshiyuki, Ion implantation methods and transistor cell layout for fin type transistors.
  21. Yu Chen-Hua,TWX, Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluor.
  22. Mizushima, Kazutoshi; Miura, Nakaji; Sekine, Yasuhiro; Suzuki, Makoto; Tomii, Kazuya, Method for apparatus for polishing outer peripheral chamfered part of wafer.
  23. Aminzadeh Payman ; Arghavani Reza ; Moon Peter, Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxi.
  24. Fried, David M.; Nowak, Edward J., Method for fabricating multiple-plane FinFET CMOS.
  25. Lin Horng-Chih (Hsinchu TWX) Chen Liang-Po (Hsinchu TWX) Lin Hsiao-Yi (Hualien Hsien TWX) Chang Chun-Yen (Hsinchu TWX), Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration.
  26. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  27. Yu, Bin; Wang, Haihong, Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device.
  28. Mukai Mikio,JPX, Method for forming field effect transistor having multiple gate electrodes surrounding the channel region.
  29. Buynoski, Matthew S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yang, Chih-Yuh; Yu, Bin, Method for forming fins in a FinFET device using sacrificial carbon layer.
  30. Yu, Bin; An, Judy Xilin; Tabery, Cyrus E.; Wang, Haihong, Method for forming multiple structures in a semiconductor device.
  31. Ha Hyoung C. (Kwangmyungsi KRX), Method of fabricating a thin film transistor having vertical channel.
  32. Anthony, Thomas C., Method of fabricating high density sub-lithographic features on a substrate.
  33. Bin Yu, Method of forming a double gate transistor having an epitaxial silicon/germanium channel region.
  34. Wu, Chung Cheng; Wu, Shye-Lin, Method of forming an N channel and P channel FINFET device on the same semiconductor substrate.
  35. Krivokapic, Zoran; Buynoski, Matthew, Method of making a self-aligned triple gate silicon-on-insulator device.
  36. Maegawa Shigeto (Itami JPX), Method of making a semiconductor device having a gate all around type of thin film transistor.
  37. Choi Jong Moon,KRX ; Kim Jong Kwan,KRX, Method of making a thin film transistor.
  38. Koh Risho (Tokyo JPX), Method of making a transistor having easily controllable impurity profile.
  39. Hisamoto Dai (Kokubunji JPX) Kaga Toru (Urawa JPX) Kimura Shinichiro (Hachioji JPX) Moniwa Masahiro (Hannou JPX) Tanaka Haruhiko (Kokubunji JPX) Hiraiwa Atsushi (Kodaira JPX) Takeda Eiji (Koganei JPX, Method of manufacturing a semiconductor device having silicon islands.
  40. Zhang, Hongyong; Takayama, Toru; Takemura, Yasuhiko; Miyanaga, Akiharu; Ohtani, Hisashi; Takeyama, Junichi, Method of preparing a semiconductor having controlled crystal orientation.
  41. Rao Annapragada, Method of preventing damage to organo-silicate-glass materials during resist stripping.
  42. Leonard Forbes ; Wendell P. Noble, Methods for dual-gated transistors.
  43. Fried, David M.; Nowak, Edward J., Multiple-plane FinFET CMOS.
  44. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman, Nonplanar device with stress incorporation layer and method of fabrication.
  45. Chang, Ming-Ching, Oxidation process to improve polysilicon sidewall roughness.
  46. Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  47. Natzle, Wesley C.; Doris, Bruce B.; Deshpande, Sadanand V.; Mo, Renee T.; O'Neil, Patricia A., Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer.
  48. Bin Yu, Process for forming multiple active lines and gate-all-around MOSFET.
  49. Jean Pierre Colinge ; Carlos H. Diaz, Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same.
  50. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  51. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  52. Zoran Krivokapic ; Matthew Buynoski, Self-aligned double gate silicon-on-insulator (SOI) device.
  53. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  54. Burroughes Jeremy H. (Cambridge GBX) Arnone Donald D. (Cambridge GBX), Semiconductor device and method for its manufacture.
  55. Horiuchi, Masatada, Semiconductor device and method of producing the same.
  56. Inaba, Satoshi; Ohuchi, Kazuya, Semiconductor device having MIS field effect transistors or three-dimensional structure.
  57. Yu, Bin; Ahmed, Shibly S.; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Wang, Haihong, Semiconductor device having a U-shaped gate structure.
  58. Mizuno Tomohisa,JPX ; Ushiku Yukihiro,JPX ; Yoshimi Makoto,JPX ; Terauchi Mamoru,JPX ; Kawanaka Shigeru,JPX, Semiconductor device having a projecting element region.
  59. Kawashima Ikue,JPX, Semiconductor device having opposite-polarity region under channel.
  60. Shunsuke Inoue JP; Mamoru Miyawaki JP; Tetsunobu Kochi JP, Semiconductor device, and operating device, signal converter, and signal processing system using the same semiconductor device.
  61. Ema Taiji (Kawasaki JPX) Itabashi Kazuo (Kawasaki JPX), Semiconductor memory device having thin film transistor and method of producing the same.
  62. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  63. Sundaresan Ravishankar (Garland TX), Sidewall doping technique for SOI transistors.
  64. Maszara, Witold P., Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide.
  65. Dong, Jie; Suzuki, Katsumasa; Masusaki, Hiroshi; Matsumoto, Koh, Spectroscopic method for analyzing isotopes by using a semiconductor laser.
  66. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  67. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Strained-channel multiple-gate transistor.
  68. Kim Weonkeun (Incheon KRX) Kim Chulsoo (Kyungki-do KRX) Han Jeongin (Seoul KRX), Thin film transistor with three dimensional multichannel structure.
  69. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  70. Gardner Mark I. ; Hause Fred N., Ultra short trench transistors and process for making same.
  71. Wang, Haihong; An, Judy Xilin; Yu, Bin, Uniformly doped source/drain junction in a double-gate MOSFET.
  72. Lim Byung-hak,KRX, Vertical transistor and memory cell.

이 특허를 인용한 특허 (88)

  1. Lindert, Nick; Cea, Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  2. Doyle, Brian S.; Jin, Been-Yih; Kavalieros, Jack T.; Datta, Suman; Brask, Justin K.; Chau, Robert S., CMOS devices with a single work function gate electrode and method of fabrication.
  3. Beintner, Jochen; Ludwig, Thomas; Nowak, Edward Joseph, Dense chevron finFET and method of manufacturing same.
  4. Brask, Justin K.; Datta, Suman; Doczy, Mark L.; Blackwell, James M.; Metz, Matthew V.; Kavalieros, Jack T.; Chau, Robert S., Dielectric interface for group III-V semiconductor device.
  5. Pillarisetty, Ravi; Hudait, Mantu K.; Radosavljevic, Marko; Dewey, Gilbert; Rakshit, Titash; Kavalieros, Jack T., Double quantum well structures for transistors.
  6. Lee, Tung Ying; Huang, Yu-Lien; Lin, You-Ru, FETS and methods of forming FETS.
  7. Lee, Tung Ying; Huang, Yu-Lien; Lin, You-Ru, FETs and methods of forming FETs.
  8. Radosavljevic, Marko; Datta, Suman; Doyle, Brian S.; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Majumdar, Amian; Chau, Robert S., Field effect transistor with metal source/drain regions.
  9. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  10. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  11. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  12. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  13. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  14. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  15. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  16. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  17. Chau, Robert S.; Datta, Suman; Kavalieros, Jack; Brask, Justin K.; Doczy, Mark L.; Metz, Matthew, Field effect transistor with narrow bandgap source and drain regions and method of fabrication.
  18. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., FinFET with reduced capacitance.
  19. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., FinFET with reduced capacitance.
  20. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., FinFET with reduced capacitance.
  21. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., FinFET with reduced capacitance.
  22. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., FinFET with reduced capacitance.
  23. Basker, Veeraraghavan S.; Cheng, Kangguo; Khakifirooz, Ali; Koburger, III, Charles W., Finfet with reduced capacitance.
  24. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Formation of multi-height MUGFET.
  25. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Formation of multi-height MUGFET.
  26. Cea, Stephen M.; Murthy, Anand S.; Glass, Glenn A.; Aubertine, Daniel B.; Ghani, Tahir; Kavalieros, Jack T.; Kotlyar, Roza, High mobility strained channels for fin-based transistors.
  27. Cea, Stephen M.; Murthy, Anand S.; Glass, Glenn A.; Aubertine, Daniel B.; Ghani, Tahir; Kavalieros, Jack T.; Kotlyar, Roza, High mobility strained channels for fin-based transistors.
  28. Cea, Stephen M.; Murthy, Anand S.; Glass, Glenn A.; Aubertine, Daniel B.; Ghani, Tahir; Kavalieros, Jack T.; Kotlyar, Roza, High mobility strained channels for fin-based transistors.
  29. Radosavljevic, Marko; Chu-Kung, Benjamin; Dewey, Gilbert; Mukherjee, Niloy, Increasing carrier injection velocity for integrated circuit devices.
  30. Radosavljevic, Marko; Chu-Kung, Benjamin; Dewey, Gilbert; Mukherjee, Niloy, Increasing carrier injection velocity for integrated circuit devices.
  31. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors.
  32. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  33. Chang, Peter L. D.; Doyle, Brian S., Independently accessed double-gate and tri-gate transistors in same process flow.
  34. Suk, Sung-dae; Lee, Sung-young; Kim, Dong-won; Kim, Sung-min, MOS field effect transistor having plurality of channels.
  35. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  36. Brask, Justin K.; Chau, Robert S.; Datta, Suman; Doczy, Mark L.; Doyle, Brian S.; Kavalieros, Jack T.; Majumdar, Amlan; Metz, Matthew V.; Radosavljevic, Marko, Method for fabricating transistor with thinned channel.
  37. Wei, Andy; Mulfinger, Robert; Scheiper, Thilo; Kammler, Thorsten, Method for forming double gate and tri-gate transistors on a bulk substrate.
  38. Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Chau, Robert S., Method of patterning a film.
  39. Brask, Justin K.; Kavalieros, Jack; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S.; Doyle, Brian S., Methods for patterning a semiconductor film.
  40. Bryant, Andres; Gunawan, Oki; Lo, Shih-Hsien; Sleight, Jeffrey W., Nanowire stress sensors and stress sensor integrated circuits, design structures for a stress sensor integrated circuit, and related methods.
  41. Bryant, Andres; Gunawan, Oki; Lo, Shih-Hsien; Sleight, Jeffrey W, Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit.
  42. Larsen, Bradley J.; Liu, Michael S.; Fechner, Paul S., Non-planar silicon-on-insulator device that includes an “area-efficient” body tie.
  43. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  44. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  45. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  46. Shah, Uday; Doyle, Brian S.; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  47. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  48. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  49. Shah, Uday; Doyle, Brian; Brask, Justin K.; Chau, Robert S.; Letson, Thomas A., Nonplanar device with thinned lower body portion and method of fabrication.
  50. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  51. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Rios, Rafael; Linton, Tom; Datta, Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  52. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Rios,Rafael; Linton,Tom; Datta,Suman, Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication.
  53. Brask, Justin K.; Dovle, Brian S.; Kavalleros, Jack; Doczy, Mark; Shah, Uday; Chau, Robert S., Nonplanar transistors with metal gate electrodes.
  54. Kavalieros, Jack T.; Brask, Justin K.; Doyle, Brian S.; Shah, Uday; Datta, Suman; Doczy, Mark L.; Metz, Matthew V.; Chau, Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  55. Kavalieros,Jack T.; Brask,Justin K.; Doyle,Brian S.; Shah,Uday; Datta,Suman; Doczy,Mark L.; Metz,Matthew V.; Chau,Robert S., Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby.
  56. Miyano, Kiyotaka, Semiconductor device and method for manufacturing the same.
  57. Cheng, Kagguo; Doris, Bruce B., Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer.
  58. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  59. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  60. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  61. Brask, Justin K.; Kavalieros, Jack; Doyle, Brian S.; Shah, Uday; Datta, Suman; Majumdar, Amlan; Chau, Robert S., Semiconductor device structures and methods of forming semiconductor structures.
  62. Pillarisetty, Ravi; Hudait, Mantu K.; Radosavljevic, Marko; Dewey, Gilbert; Rakshit, Titash; Chau, Robert S., Semiconductor heterostructures to reduce short channel effects.
  63. Sekaric, Lidija; Chidambarrao, Dureseti; Liu, Xiao H., Semiconductor nanowire with built-in stress.
  64. Jin, Been-Yih; Doyle, Brian S.; Kavalieros, Jack T.; Chau, Robert S., Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications.
  65. Jin, Been-Yih; Doyle, Brian S.; Kavalieros, Jack T.; Chau, Robert S., Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications.
  66. Jin, Been-Yin; Doyle, Brian S; Kavalieros, Jack T.; Chau, Robert S., Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications.
  67. Jin, Been-Yin; Doyle, Brian S; Kavalieros, Jack T; Chau, Robert S, Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications.
  68. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Simultaneous formation of FinFET and MUGFET.
  69. Anderson, Brent A.; Nowak, Edward J.; Rankin, Jed H., Simultaneous formation of FinFET and MUGFET.
  70. Anderson, Brent A.; Nowak, Edward J., Split-gate DRAM with MuGFET, design structure, and method of manufacture.
  71. Anderson, Brent A.; Nowak, Edward J., Split-gate DRAM with MuGFET, design structure, and method of manufacture.
  72. Anderson, Brent A.; Nowak, Edward J., Split-gate DRAM with lateral control-gate MuGFET.
  73. Hudait, Mantu K.; Shaheen, Mohamad A.; Chow, Loren A.; Tolchinsky, Peter G.; Fastenau, Joel M.; Loubychev, Dmitri; Liu, Amy W. K., Stacking fault and twin blocking barrier for integrating III-V on Si.
  74. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  75. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  76. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  77. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  78. Rakshit, Titash; Giles, Martin; Pillarisetty, Ravi; Kavalieros, Jack T., Stress in trigate devices using complimentary gate fill materials.
  79. McTeer, Allen, System and method for sputtering a tensile silicon nitride film.
  80. Radosavljevic, Marko; Dewey, Gilbert; Mukherjee, Niloy; Pillarisetty, Ravi, Techniques and configurations to impart strain to integrated circuit devices.
  81. Okhonin, Serguei; Koldiaev, Viktor I; Nagoga, Mikhail; Luthra, Yogesh, Techniques for providing a semiconductor memory device.
  82. Colinge, Jean-Pierre; Ching, Kuo-Cheng; Chang, Gwan Sin; Wu, Zhiqiang; Wang, Chih-Hao; Diaz, Carlos H., Thermally tuning strain in semiconductor devices.
  83. Diaz, Carlos H.; Wang, Chih-Hao; Chang, Gwan Sin; Colinge, Jean-Pierre; Ching, Kuo-Cheng; Wu, Zhiqiang, Thermally tuning strain in semiconductor devices.
  84. Colinge, Jean-Pierre; Ching, Kuo-Cheng, Transistors with wrapped-around gates and methods for forming the same.
  85. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  86. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman; Jin, Been-Yih, Tri-gate transistor device with stress incorporation layer and method of fabrication.
  87. Colinge, Jean-Pierre; Ching, Kuo-Cheng; Chang, Gwan Sin; Wu, Zhiqiang; Wang, Chih-Hao; Diaz, Carlos H., Tuning strain in semiconductor devices.
  88. Colinge, Jean-Pierre; Ching, Kuo-Cheng; Chang, Gwan Sin; Wu, Zhiqiang; Wang, Chih-Hao; Diaz, Carlos H., Tuning strain in semiconductor devices.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로