$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Methods, systems, and apparatus for uniform chemical-vapor depositions 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/00
출원번호 US-0931595 (2004-08-31)
등록번호 US-7410668 (2008-08-12)
발명자 / 주소
  • Ahn,Kie Y.
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 26  인용 특허 : 337

초록

Integrated circuits, the key components in thousands of electronic and computer products, are generally built layer by layer on a silicon substrate. One common technique for forming layers is called chemical-vapor deposition (CVD.) Conventional CVD systems not only form layers that have non-uniform

대표청구항

The invention claimed is: 1. A method comprising: introducing gas into a closed inner chamber within an outer chamber through a gas-distribution fixture in a chemical-vapor-deposition system, with the fixture for distributing gas over a substrate; operating a pump to evacuate gas from the outer cha

이 특허에 인용된 특허 (337)

  1. Sandhu, Gurtej; Derderian, Garo J., ALD method to improve surface coverage.
  2. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices.
  3. Forbes Leonard ; Geusic Joseph E., Alternate method and structure for improved floating gate tunneling devices using textured surface.
  4. Ma Yanjun ; Ono Yoshi, Aluminum-doped zirconium dielectric film transistor structure and deposition method for same.
  5. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  6. Blank Richard E. (Roanoke VA) Harris James W. (Roanoke VA) Amith Avraham (Roanoke VA), Apparatus and method for heat cleaning semiconductor material.
  7. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  8. Sneh, Ofer; Seidel, Thomas E.; Galewski, Carl, Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition.
  9. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  10. Philip H. Campbell ; David J. Kubista, Apparatus and process of improving atomic layer deposition chamber performance.
  11. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  12. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  13. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  14. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  15. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  16. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  17. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  18. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  19. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  21. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  22. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  23. Zheng, Lingyi A.; Ping, Er-Xuan; Breiner, Lyle; Doan, Trung T., Atomic layer deposition of capacitor dielectric.
  24. Jang,Chuck; Dong,Zhong; Chan,Vei Han; Chen,Ching Hwa, Atomic layer deposition of interpoly oxides in a non-volatile memory device.
  25. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  26. Sandhu, Gurtej; Doan, Trung T., Atomic layer doping apparatus and method.
  27. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  28. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  29. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  30. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  31. Roberts Ceredig (Boise ID), BiCMOS process and process for forming bipolar transistors on wafers also containing FETs.
  32. Kori, Moris; Mak, Alfred W.; Byun, Jeong Soo; Lei, Lawrence Chung-Lai; Chung, Hua; Sinha, Ashok; Xi, Ming, Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques.
  33. Shinohara Toshiro (Yokosuka JPX) Mihara Teruyoshi (Yokosuka JPX) Yao Kenji (Yokosuka JPX), CMOS having buried layer for carrier recombination.
  34. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  35. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  36. Takeshi Kaizuka JP; Takashi Horiuchi JP; Masami Mizukami JP; Takashi Mochizuki JP; Yumiko Kawano JP; Hideaki Yamasaki JP, CVD apparatus and CVD method.
  37. Campbell, Philip H.; Carpenter, Craig M.; Dando, Ross S.; Hamer, Kevin T., CVD apparatuses and methods of forming a layer over a semiconductor substrate.
  38. Garo J. Derderian ; Gurtej S. Sandhu, Capacitor fabrication methods and capacitor constructions.
  39. Hoffarth Joseph Gerard ; Lauffer John Matthew ; Mahmoud ; deceased Issa Said, Capacitor formed within printed circuit board.
  40. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  41. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  42. Lin Wen-Yi ; Speyer Robert F. ; Shrout Tom R. ; Hackenberger Wesley S., Ceramic compositions for microwave wireless communication.
  43. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  44. Anand Srinivasan ; Sujit Sharon ; Raj Narasimhan, Chemical vapor deposition process.
  45. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  46. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  47. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  48. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  49. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  50. Henley Francois J. ; Cheung Nathan W., Controlled cleavage process and device for patterned films using a release layer.
  51. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  52. Wong Manus K. (San Jose CA) Chew Sandy M. (San Jose CA), Corrosion-resistant apparatus.
  53. Chatterjee Pallab K. (Richardson TX) Malhi Satwinder (Garland TX) Richardson William F. (Richardson TX), DRAM Cell with trench capacitor and vertical channel in substrate.
  54. Kyoji Yamashita JP, Defect inspection method and defect inspection apparatus.
  55. Derderian, Garo J.; Sandhu, Gurtej S., Deposition methods.
  56. Kiyoshi Nikawa JP, Device and method for nondestructive inspection on semiconductor device.
  57. Marsh, Eugene P., Devices containing zirconium-platinum-containing materials and methods for preparing such materials and devices.
  58. Marsh,Eugene P., Dielectric material forming methods.
  59. Schneemeyer Lynn Frances ; van Dover Robert Bruce, Dielectric materials of amorphous compositions and devices employing same.
  60. VanDover Robert Bruce, Dielectric materials of amorphous compositions of TI-O.sub.2 doped with rare earth elements and devices employing same.
  61. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  62. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  63. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  64. Gotou Hiroshi (Niiza JPX), Dram cell formed on an insulating layer having a buried semiconductor pillar structure and a manufacturing method thereo.
  65. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  66. Gonzales Fernando (Boise ID), Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertic.
  67. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Dynamic random access memory having a vertical transistor.
  68. Yamamoto Tadashi (Kawasaki JPX) Sawada Shizuo (Yokohama JPX), Dynamic random access memory having bit lines buried in semiconductor substrate.
  69. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  70. Sung-Mu Hsu (I-Lan TWX), Electrically programmable memory device with improved dual floating gates.
  71. Sharma Umesh (Austin TX) Kawasaki Hisao (Austin TX), Electrically programmable read-only memory cell.
  72. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  73. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  74. Suzuki Kazuaki (Kawasaki JPX), Energy amount control device.
  75. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  76. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  77. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  78. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  79. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  80. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  81. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  82. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  83. Choate William Clay (Dallas TX), Fault-tolerant cell addressable array.
  84. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  85. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  86. Sandhu, Gurtej; Derderian, Garo J., Film composition.
  87. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  88. Srinivasan Anand, Flowable germanium doped silicate glass for use as a spacer oxide.
  89. Sobczak Zbigniew P. (Colorado Springs CO), Formation and planarization of silicon-on-insulator structures.
  90. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  91. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  92. Andrew T. Hunt ; Wen-Yi Lin ; Tzyy Jiuan Hwang ; Michelle Hendrick ; Helmut G. Hornis, Formation of thin film capacitors.
  93. Hunt Andrew T. ; Hwang Tzyy Jiuan ; Hornis Helmut G. ; Lin Wen-Yi, Formation of thin film capacitors.
  94. Hunt, Andrew T.; Hwang, Tzyy Jiuan; Hornis, Helmut G.; Lin, Wen-Yi, Formation of thin film capacitors.
  95. Hunt Andrew T. ; Flanagan John S. ; Neuman George A., Formation of this film capacitors.
  96. Dennison Charles H. (Boise ID) Manning Monte (Kuna ID), Fully planarized thin film transistor (TFT) and process to fabricate same.
  97. Deacon Thomas E. ; Cheung David ; Lee Peter Wai-Man ; Huang Judy H., Gas distribution for CVD systems.
  98. Seung Yoon Yang KR; In Jae Park KR; Jong Woo Yoon KR; Chang Jae Kim KR; Tanigawa Eiki JP, Gas injection system for chemical vapor deposition device.
  99. Ni Tuqiang ; Demos Alex, Gas injection system for plasma processing.
  100. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  101. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  102. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  103. Vu Duy-Phach ; Dingle Brenda ; Cheong Ngwe, High density electronic circuit modules.
  104. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  105. Sandhu Gurtej S. (Boise ID) Fazan Pierre (Boise ID), High performance thin film transistor (TFT) by solid phase epitaxial regrowth.
  106. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  107. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), High-density DRAM structure on soi.
  108. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  109. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  110. Lee Seaung Suk,KRX ; Kim Ho Gi,KRX ; Kim Jong Choul,KRX ; Choi Soo Han,KRX, Hot-wall CVD method for forming a ferroelectric film.
  111. Chhabra Navjot (Boise ID) Gibbons Loyal (Boise ID), Hydrofluoric acid etcher and cascade rinser.
  112. Murakami Masanori (Tsuzuki-gun JPX) Koide Yasuo (Kyoto JPX) Teraguchi Nobuaki (Nara JPX) Tomomura Yoshitaka (Nara JPX), II-VI group compound semiconductor device metallic nitride ohmic contact for p-type.
  113. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  114. Sarigiannis, Demetrius; Meng, Shuang; Derderian, Garo J., Insitu post atomic layer deposition destruction of active species.
  115. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  116. Courtright David A. ; Trawick David L., Integrated circuit having reprogramming cell.
  117. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  118. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  119. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  120. Ting Chiu H. ; Holtkamp William H., Integrated vacuum and plating cluster system.
  121. Glass Thomas R. ; Schofield Kevin H., Irradiation mask.
  122. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  123. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  124. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  125. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  126. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  127. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  128. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  129. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  130. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  131. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  132. Kusumoto Naoto,JPX ; Takayama Toru,JPX ; Yonezawa Masato,JPX, Laser annealing method and laser annealing device.
  133. Cullis Anthony G. (Worcester GB2) Webber Hugh C. (Malvern GB2) Bailey Paul (Oldham GB2), Laser beam annealing diffuser.
  134. Frankel Jonathan ; Shmurun Inna ; Sivaramakrishnan Visweswaren ; Fukshansky Eugene, Lid assembly for high temperature processing chamber.
  135. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  136. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  137. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  138. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  139. Sywyk Stefan P., Memory access method and apparatus and multi-plane memory device with prefetch.
  140. Gonzalez, Fernando, Memory cell arrays.
  141. K. O. Kenneth (Cambridge MA) Lee Hae-Seung (Watertown MA) Reif L. Rafael (Newton MA), Merged bipolar and insulated gate transistors.
  142. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  143. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  144. Nagakubo Masao (Chiryu JPX) Fujino Seiji (Toyota JPX) Senda Kouji (Oobu JPX) Hattori Tadashi (Okazaki JPX), Method and apparatus for direct bonding two bodies.
  145. Jiang Tongbi ; Li Li, Method and apparatus for electroless plating a contact pad.
  146. Kersh ; III David V. (Houston TX) Norwood Roger D. (Sugarland TX), Method and apparatus for inhibiting a predecoder when selecting a redundant row line.
  147. Venkataranan Shankar ; Hendrickson Scott ; Shmurun Inna ; Nguyen Son T., Method and apparatus for processing semiconductive wafers.
  148. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  149. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  150. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  151. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  152. Wang,Ming Fang; Chen,Chia Lin; Yang,Chih Wei; Chen,Chi Chun; Hou,Tuo Hung; Lin,Yeou Ming; Yao,Liang Gi; Chen,Shih Chang, Method and structure for forming high-k gates.
  153. Goesele Ulrich M. (Durham NC) Lehmann Volker (Durham NC), Method for bubble-free bonding of silicon wafers.
  154. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  155. Thakur Randhir P.S., Method for cleaning semiconductor wafers and.
  156. Noble Wendell P., Method for coupling to semiconductor device in an integrated circuit having edge-defined, sub-lithographic conductors.
  157. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  158. Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  159. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  160. Eugene P. Marsh, Method for fabricating an SrRuO3 film.
  161. Kim, Younsoo, Method for fabricating metal electrode with atomic layer deposition (ALD) in semiconductor device.
  162. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  163. Messing, Gary L.; Kwon, Songtae; Sabolsky, Edward M., Method for fabrication of lead-based perovskite materials.
  164. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  165. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  166. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  167. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  168. Trivedi, Jigish D., Method for forming a notched damascene planar poly/metal gate.
  169. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  170. Choi, Eun-Seok, Method for forming metal films.
  171. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  172. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  173. Kang Sang-bom,KRX ; Chae Yun-sook,KRX ; Park Chang-soo,KRX ; Lee Sang-in,KRX, Method for forming metal layer using atomic layer deposition.
  174. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  175. Yang, Haining; Sandhu, Gurtej S., Method for forming platinum-rhodium stack as an oxygen barrier.
  176. Cho, Ho Jin, Method for forming polyatomic layers.
  177. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  178. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  179. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  180. Shahvandi Iraj,DEX ; Vatel Oliver,DEX ; John Peggy,DEX, Method for heating a semiconductor wafer in a process chamber by a shower head, and process chamber.
  181. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  182. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  183. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  184. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  185. Christopher C. Hobbs ; Baohong Cheng ; Lurae G. Dip, Method for making semiconductor device.
  186. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  187. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  188. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  189. Thakur, Randhir P. S.; Weimer, Ronald A., Method for processing wafers in a semiconductor fabrication system.
  190. Tuan Hsiao-Chin (Hsin-Chu TWX) Chou Hsiang-Ming J. (Hsin-Chu TWX), Method for producing a roughened surface capacitor.
  191. Schwalke Udo (Heldenstein DEX), Method for producing an insulating trench in an SOI substrate.
  192. Watanabe Yoshitane,JPX ; Suzuki Keitaro,JPX ; Koyama Yoshinari,JPX ; Iijima Motoko,JPX, Method for producing composite sol, coating composition, and optical element.
  193. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  194. Doan Trung T. (1574 Shenandoan Dr. Boise ID 83712), Method for roughening a silicon or polysilicon surface for a semiconductor substrate.
  195. Van Wijck, Margreet Albertine Anne-Marie, Method for vapour deposition of a film onto a substrate.
  196. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  197. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  198. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  199. Marsh, Eugene P., Method of fabricating an SrRuO3 film.
  200. Dhong Sang H. (Mahopac NY) Hwang Wei (Armonk NY) Lu Nicky C. (Yorktown Heights NY), Method of fabricating cross-point lightly-doped drain-source trench transistor.
  201. Yang Ming-Tzong (Hsin-Chu TWX) Hong Gary (Hsin-Chu TWX), Method of fabrication of MOSFET device with buried bit line.
  202. Mathews Viju K. (Boise) Yu Chang (Boise) Tuttle Mark E. (Boise) Doan Trung T. (Boise ID), Method of forming a capacitor in semiconductor wafer processing.
  203. Jeng Nanseng (Boise ID) Harshfield Steven T. (Emmett ID) Schuele Paul J. (Boise ID), Method of forming a contact using a trench and an insulation layer during the formation of a semiconductor device.
  204. Hirota Toshiyuki (Tokyo JPX), Method of forming a roughened surface capacitor with two etching steps.
  205. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  206. Sandhu, Gurtej S.; Doan, Trung Tri, Method of forming capacitor constructions.
  207. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  208. Birrittella Mark S. (Phoenix AZ) Liaw Hang M. (Scottsdale AZ) Reuss Robert H. (Scottsdale AZ), Method of forming transistors with poly-sidewall contacts utilizing deposition of polycrystalline and insulating layers.
  209. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  210. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  211. Hsieh Chang-Ming (Fishkill NY) Hsu Louis L. C. (Fishkill NY) Ogura Seiki (Hopewell Junction NY), Method of making a high-density DRAM structure on SOI.
  212. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  213. Kim Jong S. (Sungnam KRX) Yoon Hee-Koo (Seoul KRX) Choi Chung G. (Kyoungki-Do KRX), Method of making dynamic random access memory having a vertical transistor.
  214. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  215. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  216. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  217. Beyer Klaus D. (Poughkeepsie NY) Hsu Louis L. (Fishkill NY) Silvestri Victor J. (Hopewell Junction NY) Yapsir Andrie S. (Pleasane Valley NY), Method of producing a thin silicon-on-insulator layer.
  218. Sandhu, Gurtej S.; Doan, Trung T., Method of providing a silicon film having a roughened outer surface.
  219. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  220. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method to deposit a stacked high-κ gate dielectric for CMOS applications.
  221. Ownby Gary W. (Knoxville TN) White Clark W. (Oak Ridge TN) Zehner David M. (Lenoir City TN), Method using laser irradiation for the production of atomically clean crystalline silicon and germanium surfaces.
  222. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  223. Ellie Yieh ; Li-Qun Xia ; Srinivas Nemani, Methods and apparatus for shallow trench isolation.
  224. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  225. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  226. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  227. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  228. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  229. Forbes Leonard, Methods for making silicon-on-insulator structures.
  230. Visokay, Mark; Chambers, James Joseph; Colombo, Luigi; Rotondaro, Antonio Luis Pacheco, Methods for sputter deposition of high-k dielectric films.
  231. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of forming capacitor constructions.
  232. Drewes, Joel A., Methods of forming magnetoresisitive devices.
  233. Sandhu, Gurtej S.; Doan, Trung Tri, Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions.
  234. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  235. Ahn, Kie Y., Methods, systems, and apparatus for uniform chemical-vapor depositions.
  236. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  237. Kusunoki Shigeru (Hyogo JPX), Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing.
  238. Kurtz Anthony D. (Teaneck NJ) Ned Alexander A. (Bloomingdale NJ), Multi-level semiconductor structures having environmentally isolated elements.
  239. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  240. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  241. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  242. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  243. Jin, Been-Yih; Arghavani, Reza; Chau, Robert, Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors.
  244. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  245. Zhou Lin ; Zhang Xue-Shan, Physiotherapy fiber, shoes, fabric, and clothes utilizing electromagnetic energy.
  246. Donohoe Kevin G. ; Blalock Guy T., Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers.
  247. Donohoe Kevin G. ; Blalock Guy T., Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers.
  248. Gorin Georges J. (Emeryville CA) Hoog Josef T. (Novato CA), Plasma reactor apparatus.
  249. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  250. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  251. Nakahigashi Takahiro (Kyoto JPX) Murakami Hiroshi (Kyoto JPX) Otani Satoshi (Osaka JPX) Tabata Takao (Kyoto JPX) Maeda Hiroshi (Kyoto JPX) Kirimura Hiroya (Kyoto JPX) Kuwahara Hajime (Kyoto JPX), Plasma-CVD method and apparatus.
  252. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  253. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  254. Geiss Peter J. (Underhill VT) Kenney Donald M. (Shelburne VT), Porous silicon trench and capacitor structures.
  255. Hong Gary (Hsin-Chu TWX), Process for high density flash EPROM cell.
  256. Hong Gary (Hsin-Chu TWX), Process for high density split-gate memory cell for flash or EPROM.
  257. Marsh, Eugene P.; Uhlenbrock, Stefan, Process for low temperature atomic layer deposition of Rh.
  258. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  259. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  260. Doan Trung T. (Boise ID) Lowrey Tyler A. (Boise ID), Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit cap.
  261. Putkonen, Matti, Process for producing oxide thin films.
  262. Shiraiwa, Hidehiko; Park, Jaeyong; Cheung, Fred T K; Halliyal, Arvind, Process for reducing hydrogen contamination in dielectric materials in memory devices.
  263. Wilk, Glen David; Ye, Peide, Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate.
  264. Kamikawa Yuuji (Uto JPX) Matsumura Kimiharu (Kumamoto JPX) Nomura Masafumi (Kumamoto JPX) Nagata Junichi (Kumamoto JPX), Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surf.
  265. Turner John E. (Beaverton OR) Josephson Gregg R. (Lake Oswego OR), Programmable logic array.
  266. Turner John E. (Beaverton OR) Rutledge David L. (Beaverton OR), Programmable logic device.
  267. Huang, Jen-Ren; Chou, Ming-Hung; Chiou, Jen-Ren, Programming a flash memory cell.
  268. Ofer Sneh, Radical-assisted sequential CVD.
  269. Beyer Klaus D. (Poughkeepsie NY) Yapsir Andrie S. (Pleasant Valley NY), Reach-through isolation silicon-on-insulator device.
  270. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  271. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  272. Manning Monte (Kuna ID), Redundancy elements using thin film transistors (TFTs).
  273. Yang, Haining; Gealy, Dan; Sandhu, Gurtej S.; Rhodes, Howard; Visokay, Mark, Rhodium-rich oxygen barriers.
  274. Lu Chih-Yuan (Taipei TWX), Roughened polysilicon surface capacitor electrode plate for high denity dram.
  275. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  276. Bronner Gary B. (Stormville NY) DeBrosse John K. (Burlington VT) Mandelman Jack A. (Stormville NY), SOI DRAM with field-shield isolation and body contact.
  277. Kenney Donald M., SOI fabrication method.
  278. Rajeevakumar Thekkemadathil V. (Scarsdale NY), SOI trench DRAM cell for 256 MB DRAM and beyond.
  279. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  280. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  281. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  282. Huang Cheng H. (Hsin-Chu TWX) Lur Water (Taipei TWX), Self-aligned trenched contact (satc) process.
  283. Komiya Yoshio (Yokohama JPX), Semiconductor device.
  284. Ohmi,Tadahiro; Sugawa,Shigetoshi; Sekine,Katsuyuki; Saito,Yuji, Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof.
  285. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  286. Kawakubo Takashi,JPX ; Fukushima Noboru,JPX, Semiconductor integrated memory manufacturing method and device.
  287. Teraguchi Nobuaki,JPX, Semiconductor light-emitting device.
  288. Gary M. Moore ; Katsuhito Nishikawa, Semiconductor processing reactor controllable gas jet assembly.
  289. Tsukude Masaki (Hyogo JPX) Arimoto Kazutami (Hyogo JPX), Sense amplifier including MOS transistors having threshold voltages controlled dynamically in a semiconductor memory dev.
  290. Sherman Arthur, Sequential chemical vapor deposition.
  291. Sherman, Arthur, Sequential chemical vapor deposition.
  292. Li, Weimin, Sequential pulse deposition.
  293. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  294. Williams Norman (Newark CA), Showerhead for uniform distribution of process gas.
  295. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  296. Hurley Kelly T. ; Li Li ; Fazan Pierre ; Wu Zhiqiang, Silicon nitride deposition method for use in forming a memory cell dielectric.
  297. Imthurn George P. (San Diego CA) Walker Howard (San Diego CA), Silicon to sapphire bond.
  298. Noble Wendell P., Silicon-on-insulator islands and method for their formation.
  299. Salerno Jack P. ; Zavracky Paul M. ; Spitzer Mark B. ; Dingle Brenda, Single crystal silicon arrayed devices with optical shield between transistor and substrate.
  300. Manning Monte (Kuna ID), Sixteen megabit static random access memory (SRAM) cell.
  301. Hong Gary (Hsin-Chu TWX), Split-gate flash memory cell.
  302. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  303. Saito Ryuichi (Tokyo JPX) Momma Naohiro (Hitachi JPX), Stacked MOS transistor flip-flop memory cell.
  304. Sugahara Kazuyuki (Hyogo JPX) Ajika Natsuo (Hyogo JPX) Ogawa Toshiaki (Hyogo JPX) Iwamatsu Toshiaki (Hyogo JPX) Ipposhi Takashi (Hyogo JPX), Stacked-type semiconductor device.
  305. Hefele Hermann L. (Augsburg DEX), Stencils having enhanced wear-resistance and methods of manufacturing the same.
  306. Kaushik, Vidya S.; Nguyen, Bich-Yen, Strontium nitride or strontium oxynitride gate dielectric.
  307. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  308. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  309. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  310. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  311. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  312. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  313. Gonzalez Fernando (Boise ID), Structure for cross coupled thin film transistors and static random access memory cell.
  314. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  315. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  316. Miyamoto, Matsutaro, Substrate processing apparatus.
  317. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  318. Doppelhammer, Robert, Surface sealing showerhead for vapor deposition reactor having integrated flow diverters.
  319. Forbes Leonard (Corvallis OR), Technique for producing small islands of silicon on insulator.
  320. Tue Nguyen, Three-dimensional showerhead apparatus.
  321. Chen, San-Yuan; Hsieh, Wen-Feng; Ting, Chu-Chi, Titanium dioxide film co-doped with yttrium and erbium and method for producing the same.
  322. Manning Monte (Boise ID), Trench isolation method having a double polysilicon gate formed on mesas.
  323. Friedenreich John P. ; Carstensen Robert K., Two-step nitride deposition.
  324. Klemperer, Walter G.; Lee, Jason; Mikalsen, Erik A.; Payne, David A., Ultrathin oxide films on semiconductors.
  325. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  326. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  327. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  328. Atwell David R. (Boise ID) Westmoreland Donald L. (Boise ID), Vapor delivery system for solid precursors and method regarding same.
  329. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  330. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  331. Chatterjee Pallab K. (Richardson TX) Shah Ashwin H. (Dallas TX), Vertical DRAM cell and method.
  332. Lu Chih-Yuan (Hsin-chu TWX), Vertical DRAM cross point memory cell and fabrication method.
  333. Fitch Jon T. (Austin TX) Mazur Carlos A. (Austin TX) Witek Keith E. (Austin TX), Vertical transistor having an underlying gate electrode contact.
  334. Nishimura Tadashi (Hyogo JPX) Sugahara Kazukyuki (Hyogo JPX) Kusunori Shigeru (Hyogo JPX) Ohsaki Akihiko (Hyogo JPX), Vertical type MOS transistor and method of formation thereof.
  335. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  336. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  337. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.

이 특허를 인용한 특허 (26)

  1. Ahn, Kie Y.; Forbes, Leonard, Apparatus having a lanthanum-metal oxide semiconductor device.
  2. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer.
  3. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  4. Li, Baozhen; Yang, Chih-Chao, Dual damascene structure with liner.
  5. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum oxide dielectrics.
  6. Ahn, Kie Y.; Forbes, Leonard, Hafnium tantalum oxide dielectrics.
  7. Ahn, Kie Y.; Forbes, Leonard, HfAlOfilms for gate dielectrics.
  8. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  9. Yang, Chih-Chao; Horak, David V.; Koburger, III, Charles W.; Ponoth, Shom, Hybrid copper interconnect structure and method of fabricating same.
  10. Yohannes, Daniel; Kirichenko, Alexander F.; Vivalda, John; Hunt, Richard, Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit.
  11. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer.
  12. Ahn, Kie Y.; Forbes, Leonard, Methods for atomic-layer deposition.
  13. Ahn, Kie Y.; Forbes, Leonard, Methods of forming titanium silicon oxide.
  14. Ahn, Kie Y.; Forbes, Leonard, Methods of forming zirconium aluminum oxide.
  15. Ahn, Kie Y.; Forbes, Leonard, Nanolaminates of hafnium oxide and zirconium oxide.
  16. Stabile, James, Physical vapor deposition apparatus having a tapered chamber.
  17. Ahn, Kie Y.; Forbes, Leonard, Structures containing titanium silicon oxide.
  18. Higashi, Gregg; Lerner, Alexander; Sorabji, Khurshed; Washington, Lori D.; Hegedus, Andreas, Tiled showerhead for a semiconductor chemical vapor deposition reactor.
  19. Higashi, Gregg; Sorabji, Khurshed; Washington, Lori D.; Hegedus, Andreas, Tiled showerhead for a semiconductor chemical vapor deposition reactor.
  20. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  21. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  22. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  23. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  24. Ahn, Kie Y.; Forbes, Leonard, Zirconium-doped tantalum oxide films.
  25. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
  26. Ahn, Kie Y.; Forbes, Leonard, Zr-Sn-Ti-O films.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로