$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Deposition over mixed substrates using trisilane 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/20
  • H01L-021/02
출원번호 UP-0843552 (2007-08-22)
등록번호 US-7547615 (2009-07-01)
발명자 / 주소
  • Todd, Michael A.
출원인 / 주소
  • ASM America, Inc.
대리인 / 주소
    Knobbe, Martens Olson & Bear, LLP
인용정보 피인용 횟수 : 0  인용 특허 : 139

초록

Trisilane is used in chemical vapor deposition methods to deposit silicon-containing films over mixed substrates. Such methods are useful in semiconductor manufacturing to provide a variety of advantages, including uniform deposition over heterogeneous surfaces, high deposition rates, and higher man

대표청구항

I claim: 1. A method of reducing the number of steps in a semiconductor device manufacturing process, comprising: identifying a semiconductor device manufacturing process that comprises: (a) depositing a first silicon-containing film onto a single-crystal surface and a non-epitaxial surface, (b) fo

이 특허에 인용된 특허 (139)

  1. Cote Donna R. ; Edelstein Daniel C. ; Fitzsimmons John A. ; Ivers Thomas H. ; Jamison Paul C. ; Levine Ernest, Adhesion of silicon carbide films.
  2. Ogawa Kyosuke (Sakurashin JPX) Shirai Shigeru (Yamato JPX) Kanbe Junichiro (Yokohama JPX) Saitoh Keishi (Tokyo JPX) Osato Yoichi (Yokohama JPX) Misumi Teruo (Kawasaki JPX), Amorphous photoconductive member with a 상세보기
  • Ovshinsky Stanford R. (Bloomfield Hills MI) Izu Masatsugu (Birmingham MI), Amorphous semiconductors equivalent to crystalline semiconductors.
  • Miyasaka Mitsutoshi (Suwa JPX), CVD apparatus, method of forming semiconductor film, and method of fabricating thin-film semiconductor device.
  • Aiso Fumiki,JPX ; Watanabe Hirohito,JPX ; Hirota Toshiyuki,JPX ; Zenke Masanobu,JPX ; Fujiwara Shuji,JPX, Capacitor incorporated in semiconductor device having a lower electrode composed of multi-layers or of graded impurity c.
  • Gutsche Henry W. (St. Louis MO), Combination gas curtains for continuous chemical vapor deposition production of silicon bodies.
  • Cruse Richard (Kendall Park NJ) Szalai Veronika (New Haven CT) Clark Terence (Princeton NJ) Rohman Stephen (Kendall Park NJ) Mininni Robert (Stockton NJ), Compounds useful as chemical precursors in chemical vapor deposition of silicon-based ceramic materials.
  • Deboer Scott J. ; Schuegraf Klaus F. ; Weimer Ronald A. ; Thakur Randhir P. S., DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films.
  • Todd,Michael A., Deposition of amorphous silicon-containing films.
  • Ozturk Mehmet (Cary NC) Wortman Jimmie (Chapel Hill NC), Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer.
  • Sato Tatsuya (Narita JPX) Tabata Atsushi (Narita JPX) Kobayashi Naoaki (Sakura JPX), Deposition of silicon nitride by plasma-enchanced chemical vapor deposition.
  • Hochberg Arthur K. (Solana Beach CA) O\Meara David L. (Oceanside CA) Roberts David A. (Carlsbad CA), Deposition of silicon nitride films from azidosilane sources.
  • Sato Tatsuya (Narita JPX) Tabata Atsushi (Narita JPX) Kobayashi Naoaki (Sakura JPX), Deposition of silicon nitrides by plasma-enhanced chemical vapor deposition.
  • Lane Andrew P. (Westminster TX) Webb Douglas A. (Allen TX) Frederick Gene R. (Mesquite TX), Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride.
  • Todd, Michael A., Deposition over mixed substrates.
  • Todd,Michael A., Deposition over mixed substrates.
  • Todd, Michael A., Dopant precursors and processes.
  • Todd, Michael A., Dopant precursors and processes.
  • Sucharita Madhukar ; Bich-Yen Nguyen, Dual metal gate transistors for CMOS process.
  • Yamazaki Shunpei,JPX, Electro-optical device and method for manufacturing the same.
  • Yamazaki Shunpei (Tokyo JPX), Electro-optical device constructed with thin film transistors.
  • Chaiken Alison, Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method.
  • Dennard Robert H. (Peekskill NY) Meyerson Bernard S. (Yorktown Heights NY) Rosenberg Robert (Peekskill NY), Fabrication of defect free silicon on an insulating substrate.
  • Arst Margareth C. (Palo Alto CA) Chen Teh-Yi J. (Cupertino CA) Ritz Kenneth N. (Santa Clara CA) Redkar Shailesh S. (Mountain View CA), Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition.
  • Kitagawa Nobuhisa (Tokyo JPX) Hirose Masataka (Hiroshima JPX) Isogaya Kazuyoshi (Tokyo JPX) Ashida Yoshinori (Higashi-Hiroshima JPX), Formation process of amorphous silicon film.
  • Kermani Ahmad (Fremont CA) Johnsgard Kristian E. (San Jose CA) Galewski Carl (Berkeley CA), Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure.
  • Yu Bin ; Adem Ercan, Gate stack structure for variable threshold voltage.
  • Ramaprasad K. R. (Princeton NJ), Hetero-augmentation of semiconductor materials.
  • Meyerson Bernard S. (Yorktown Heights NY) Plecenik Richard M. (Wappingers Falls NY) Scott Bruce A. (Pleasantville NY), High efficiency homogeneous chemical vapor deposition.
  • Mori Yuzo (Katano JPX), High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure.
  • Beinglass Israel, In situ method for cleaning silicon surface and forming layer thereon in same chamber.
  • Chang Mei (Cupertino CA) Wang David N. K. (Cupertino CA) White John M. (Hayward CA) Maydan Dan (Los Altos Hills CA), Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films.
  • Obeng Yaw Samuel ; Vitkavage Susan Clay, Integrated circuit fabrication process.
  • Cavins Craig Allan ; Tseng Hsing-Huang ; Chang Ko-Min, Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same.
  • Pomarede,Christophe F.; Givens,Michael E.; Shero,Eric J.; Todd,Michael A., Integration of high k gate dielectric.
  • Jasinski Joseph M. (Pleasantville NY) Meyerson Bernard S. (Yorktown Heights NY) Scott Bruce A. (Pleasantville NY), Low pressure chemical vapor deposition of tungsten silicide.
  • Arthur H. Heuer ; Harold Kahn ; Jie Yang, Low stress polysilicon film and method for producing same.
  • Beinglass Israel (Sunnyvale CA) Carlson David K. (Santa Clara CA), Low temperature high pressure silicon deposition method.
  • Beinglass Israel ; Carlson David K., Low temperature high pressure silicon deposition method.
  • Foster Derrick W. (Fremont CA), Low temperature silicon nitride CVD process.
  • Beinglass Israel (Sunnyvale CA) Carlson David K. (Santa Clara CA), Low temperature, high pressure silicon deposition method.
  • Beinglass Israel (Sunnyvale CA) Venkatesan Mali (San Jose CA), Low temperature, high pressure silicon deposition method.
  • Beinglass Israel ; Venkatesan Mali, Low temperature, high pressure silicon deposition method.
  • Yamamoto Shigeo,JPX ; Maruyama Mitsuhiro,JPX, Making epitaxial semiconductor device.
  • Tang Thomas E. (Dallas TX), Method and apparatus for in-situ doping of deposited silicon.
  • Fukuda Hisashi (Tokyo JPX), Method and device for cleaning substrates.
  • Rolfson J. Brett, Method for depositing doped amorphous or polycrystalline silicon on a substrate.
  • Rolfson J. Brett, Method for depositing polysilicon with discontinuous grain boundaries.
  • Brodsky Marc H. (Mt. Kisco NY) Scott Bruce A. (Pleasantville NY), Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas.
  • Ishikawa Hiraku (Tokyo JPX), Method for fabricating an oxynitride film for use in a semiconductor device.
  • Yao Liang-Gi,TWX ; Cheu Yue-Feng,TWX ; Lin Keng-Chu,TWX, Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current.
  • Ueda Tohru,JPX ; Nakamura Kenta,JPX ; Fukushima Yasumori,JPX, Method for fabricating semiconductor nanocrystal and semiconductor memory device using the semiconductor nanocrystal.
  • Funai Takashi,JPX ; Makita Naoki,JPX ; Yamamoto Yoshitaka,JPX ; Miyamoto Tadayoshi,JPX ; Kousai Takamasa,JPX ; Maekawa Masashi,JPX, Method for fabricating thin film transistors.
  • McGinn, Joseph T.; Jastrzebski, Lubomir L.; Corboy, Jr., John F., Method for forming a void-free monocrystalline epitaxial layer on a mask.
  • Ueda Tohru,JPX ; Fukushima Yasumori,JPX ; Nakamura Kenta,JPX, Method for forming ultrafine particles and/or ultrafine wire, and semiconductor device using ultrafine particles and/or ultrafine wire formed by the forming method.
  • Corboy ; Jr. John F. (Ringoes NJ) Jastrzebski Lubomir L. (Plainsboro NJ) Blackstone Scott C. (Hopewell NJ) Pagliaro ; Jr. Robert H. (Trenton NJ), Method for growing monocrystalline silicon through mask layer.
  • Suzuki Keizo (Hachioji JPX) Hiraiwa Atsushi (Kodaira JPX) Takahashi Shigeru (Hachioji JPX) Nishimatsu Shigeru (Kokubunji JPX) Ninomiya Ken (Tokyo JPX) Okudaira Sadayuki (Ome JPX), Method for growing silicon-including film by employing plasma deposition.
  • Gregory D. U'Ren, Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure.
  • Jastrzebski Lubomir L. (Plainsboro NJ), Method for making a silicon-on-insulator substrate.
  • Naruse Hiroshi (Kawasaki JPX) Taka Shin-ichi (Yokosuka JPX), Method for manufacturing semiconductor integrated circuit device.
  • Kitsuno Yu,JPX ; Yano Kotaro,JPX ; Tazawa Syoichi,JPX ; Matsuhira Shinya,JPX ; Nakajo Tetsuo,JPX, Method for producing a higher silane.
  • Scott Crowder ; Michael J. Hargrove ; Suk Hoon Ku ; L. Ronald Logan, Method for self-aligned vertical double-gate MOSFET.
  • Wiesmann Harold J. (Vactronic Lab. Equipment ; Inc. ; 65 Brightside Ave. E. Northport NY 11731), Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof.
  • Jastrzebski Lubomir L. (Plainsboro NJ) Corboy ; Jr. John F. (East Amwell Township ; Hunterdon County NJ) Pagliaro ; Jr. Robert H. (Mercer County NJ) Soydan Ramazan (Berkley Township ; Ocean County NJ, Method for thinning silicon.
  • Turner Charles ; Thakur Randhir P. S., Method for uniformly doping hemispherical grain polycrystalline silicon.
  • Beinglass Israel (Sunnyvale CA) Venkatesan Mahalingam (San Jose CA), Method of enhancing step coverage of polysilicon deposits.
  • Young Andrew W. ; Smith Don D., Method of forming a dielectric film.
  • Shiozawa Junichi (Tokyo JPX), Method of forming boron doped silicon layer and semiconductor.
  • Venkatesan Mahalingam ; Wang Shulin ; Achutharaman Vedapuram S., Method of forming doped silicon in high aspect ratio openings.
  • Sharp Kenneth G. (Midland MI), Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes.
  • Yamoto, Hisayoshi; Yamanaka, Hideo, Method of growing a polycrystalline silicon layer, method of growing a single crystal silicon layer and catalytic CVD apparatus.
  • Hayama Hiroshi (Tokyo JPX) Uchida Hiroyuki (Tokyo JPX) Takechi Kazushige (Tokyo JPX), Method of growing an amorphous silicon film.
  • Faraone Lorenzo (Belle Mead NJ), Method of making a silicon-on-insulator transistor.
  • Suguro Kyoichi (Yokohama JPX) Okano Haruo (Tokyo JPX), Method of manufacturing a multilayered metallization structure in which the conductive layer and insulating layer are se.
  • Koda Munetaka (Chiba JPX) Shida Yoshikatsu (Chiba JPX) Kawaguchi Junichi (Chiba JPX) Murakami Takehiro (Chiba JPX) Kaneko Yoshio (Chiba JPX), Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes.
  • Suzuki Hisanori (Sunnyvale CA) Matsudaira Takeo (Cupertino CA), Method of manufacturing magnetic recording medium for contact recording.
  • Tatsumi Toru (Tokyo JPX) Sakai Akira (Tokyo JPX), Method of manufacturing polysilicon film including recrystallization of an amorphous film.
  • Kobayashi Takashi (Kokubunji JPX) Iijima Shimpei (Akishima JPX) Hiraiwa Atsushi (Higashi-Murayama JPX) Kobayashi Nobuyoshi (Kawagoe JPX) Hashimoto Takashi (Hachiohji JPX) Nanba Mitsuo (Hinode JPX), Method of manufacturing semiconductor device.
  • Suguro Kyoichi (Yokohama JPX) Okano Haruo (Tokyo JPX), Method of manufacturing semiconductor device.
  • Hensel John C. (Summit NJ) Levi Anthony F. J. (Summit NJ) Tung Raymond T. (New Providence NJ), Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method.
  • Wiesmann Harold J. (Wantagh NY), Method of producing hydrogenated amorphous silicon film.
  • Nakao Shuji,JPX, Method of surface processing.
  • Thakur Randhir P. S. ; Breiner Lyle D., Method to form hemi-spherical grain (HSG) silicon.
  • Thakur Randhir P. S. ; Breiner Lyle D., Method to form hemi-spherical grain (HSG) silicon.
  • Thakur Randhir P. S. (Boise ID) Breiner Lyle D. (Boise ID), Method to form hemi-spherical grain (HSG) silicon from amorphous silicon.
  • Todd,Michael A.; Weeks,Keith D., Methods for depositing polycrystalline films with engineered grain structures.
  • Tuttle Mark E. (Boise ID), Methods for texturizing polysilicon utilizing gas phase nucleation.
  • Hyun-bo Shin KR; Myeong-cheol Kim KR; Jin-won Kim KR; Ki-hyun Hwang KR; Jae-young Park KR; Bon-young Koo KR, Methods of forming HSG capacitors from nonuniformly doped amorphous silicon layers and HSG capacitors formed thereby.
  • Ueda Tohru,JPX ; Fukushima Yasumori,JPX ; Yasuo Fumitoshi,JPX, Microstructure producing method capable of controlling growth position of minute particle or thin and semiconductor device employing the microstructure.
  • Heuer, Arthur H.; Kahn, Harold; Yang, Jie; Phillips, Stephen M., Multi-layer assemblies with predetermined stress profile and method for producing same.
  • Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  • Hudgens Stephen J. (Southfield MI) Johncock Annette G. (Royal Oak MI) Ovshinsky Stanford R. (Bloomfield Hills MI) Nath Prem (Rochester MI), Plasma deposited coatings, and low temperature plasma method of making same.
  • Toraguchi Makoto,JPX ; Kawakami Satoru,JPX, Plasma processing device and a method of plasma process.
  • Glaeser, Andreas M.; Haggerty, John S.; Danforth, Stephen C., Polycrystalline semiconductor processing.
  • Howe Roger T. ; Franke Andrea ; King Tsu-Jae, Polycrystalline silicon germanium films for forming micro-electromechanical systems.
  • Sarma Kalluri R. (Mesa AZ), Polysilicon pixel electrode.
  • Anand Murthy ; Robert S. Chau, Polysilicon-germanium MOSFET gate electrodes.
  • Denison Dean R. ; Weise Mark, Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content.
  • Hayashi Yutaka (Ibaraki JPX) Yamanaka Mitsuyuki (Ibaraki JPX), Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-.
  • Todd, Michael A., Process for deposition of semiconductor films.
  • Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  • Todd,Michael A., Process for deposition of semiconductor films.
  • Hergenrother John M. ; Monroe Donald Paul, Process for fabricating vertical transistors.
  • Saitoh Kimihiko (Kanagawa-ken JPX) Ishiguro Nobuyuki (Kanagawa-ken JPX) Sadamoto Mitsuru (Kanagawa-ken JPX) Fukuda Shin (Kanagawa-ken JPX) Ashida Yoshinori (Kanagawa-ken JPX) Fukuda Nobuhiro (Kanagaw, Process for forming a thin microcrystalline silicon semiconductor film.
  • Azuma Kazufumi (Hiratsuka JPX) Nakatani Mitsuo (Yokohama JPX) Nate Kazuo (Machida JPX) Okunaka Masaaki (Fujisawa JPX) Yokono Hitoshi (Fujisawa JPX), Process for forming thin film.
  • Sagnes Isabella,FRX, Process for obtaining a transistor having a silicon-germanium gate.
  • Shiota Kunihiko,JPX ; Hanna Jun-ichi,JPX, Process of growing polycrystalline silicon-germanium alloy having large silicon content.
  • Twu Jih-Churng,TWX ; Jang Syun-Ming,TWX ; Yu Chen-Hua,TWX, Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process.
  • Liaw Hang M. (Scottsdale AZ) Seelbach Christian A. (Scottsdale AZ), Selective deposition of amorphous and polycrystalline silicon.
  • Ozturk Mehmet C. (Cary NC) Grider Douglas T. (Raleigh NC) Sanganeria Mahesh K. (Raleigh NC) Ashburn Stanton P. (Cary NC), Selective deposition of doped silion-germanium alloy on semiconductor substrate.
  • Grupen-Shemansky Melissa E. (Mesa AZ) Liaw Hang M. (Scottsdale AZ), Selective deposition of polycrystalline silicon.
  • Kevin K. Chan ; Guy M. Cohen ; Yuan Taur ; Hon-Sum P. Wong, Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques.
  • Nakabayashi Takashi,JPX, Semiconductor device and method of fabricating the same.
  • Saida Shigehiko,JPX ; Tsunashima Yoshitaka,JPX, Semiconductor device and method of manufacturing the same.
  • Hiraiwa Atsushi (Kodaira JPX) Kimura Shinichiro (Hachioji JPX) Mine Toshiyuki (Hamuramachi JPX) Kobayashi Takashi (Kokubunji JPX) Kure Tokuo (Nishitama JPX) Iijima Shinpei (Akishima JPX) Yugami Jiro , Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover.
  • Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  • Ichiro Mizushima JP; Yuichiro Mitani JP; Shigeru Kambayashi JP; Kiyotaka Miyano JP, Semiconductor device with oxide mediated epitaxial layer.
  • Miyachi Kenji (Yokohama) Fukuda Nobuhiro (Yokohama) Ashida Yoshinori (Yokohama) Koyama Masato (Kamakura JPX), Semiconductor film and process for its production.
  • Sato Natsuki (Tokyo JPX) Saeki Takanori (Tokyo JPX), Semiconductor memory device.
  • Ping Er-Xang ; Thakur Randhir P. S., Semiconductor processing method of providing a doped polysilicon layer.
  • Ping Er-Xang ; Thakur Randhir P. S., Semiconductor processing method of providing a doped polysilicon layer.
  • Ueda Tohru,JPX ; Nakamura Kenta,JPX ; Fukushima Yasumori,JPX, Semiconductor storage element having a channel region formed of an aggregate of spherical grains and a method of manufacturing the same.
  • Burghartz Joachim N. (Shrub Oak NY) Meyerson Bernard S. (Yorktown Heights NY) Sun Yuan-Chen (Katonah NY), SiGe thin film or SOI MOSFET and method for making the same.
  • Eiichi Okuno JP; Shinji Amano JP, Silicon carbide semiconductor device and method for manufacturing the same.
  • Reinberg Alan R. (Dallas TX), Single component monomer for silicon nitride deposition.
  • King Tsu-Jae ; Ho Jackson H., Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates.
  • Kim Youngmin ; Walsh Shawn T. ; Mavoori Jaideep, Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths.
  • Hirai ; Toshio ; Niihara ; Koichi, Super hard-highly pure silicon nitrides having a preferred crystal face orientation.
  • Pomarede, Christophe F.; Roberts, Jeff; Shero, Eric J., Surface preparation prior to deposition.
  • Roy Pradip K. (Reading PA), Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer.
  • Todd, Michael A.; Raaijmakers, Ivo, Thin films and method of making them.
  • Todd,Michael A.; Raaijmakers,Ivo, Thin films and methods of making them.
  • Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  • Cox Jack N. (Sunnyvale CA), UV-vis characteristic writing in silicon nitride and oxynitride films.
  • Mieno Fumitake (Kawasaki JPX) Kurita Kazuyuki (Yokohama JPX) Nakamura Shinji (Yokohama JPX) Shimizu Atuo (Kawasaki JPX), Vapor deposition method for simultaneously growing an epitaxial silicon layer and a polycrystalline silicone layer over.
  • Inoue Yosuke (Ibaraki JPX) Suzuki Takaya (Katsuta JPX) Okamura Masahiro (Tokyo JPX) Akiyama Noboru (Hitachi JPX) Fujita Masato (Yamanashi JPX) Tochikubo Hiroo (Tokyo JPX) Iida Shinya (Tama JPX), Vapor phase growth on semiconductor wafers.
  • Shields Virgil B. (Compton CA) Ryan Margaret A. (Pasadena CA) Williams Roger M. (Azusa CA), Varying potential silicon carbide gas sensor.
  • Kashida Meguru (Gunma JPX) Nagata Yoshihiko (Gunma JPX) Noguchi Hitoshi (Gunma JPX), X-ray permeable membrane for X-ray lithographic mask.
  • Kashida Meguru (Gunma JPX) Nagata Yoshihiko (Gunma JPX) Noguchi Hitoshi (Gunma JPX), X-ray transmitting membrane for mask in x-ray lithography and method for preparing the same.
  • 섹션별 컨텐츠 바로가기

    AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

    AI-Helper 아이콘
    AI-Helper
    안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
    ※ AI-Helper는 부적절한 답변을 할 수 있습니다.

    선택된 텍스트

    맨위로