$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Low wet etch rate silicon nitride film 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/00
  • C23C-014/08
출원번호 UP-0962674 (2007-12-21)
등록번호 US-7678715 (2010-04-21)
발명자 / 주소
  • Mungekar, Hemant P.
  • Wu, Jing
  • Lee, Young S.
  • Wang, Anchuan
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Townsend and Townsend and Crew
인용정보 피인용 횟수 : 55  인용 특허 : 132

초록

The present invention pertains to methods of depositing low wet etch rate silicon nitride films on substrates using high-density plasma chemical vapor deposition techniques at substrate temperatures below 600° C. The method additionally involves the maintenance of a relatively high ratio of nit

대표청구항

What is claimed is: 1. A method of depositing a silicon nitride film on a substrate disposed in a processing chamber using a HDP-CVD process comprising: flowing a process gas mixture comprising nitrogen and silicon into the processing chamber while maintaining a mean ratio of the atomic-nitrogen fl

이 특허에 인용된 특허 (132)

  1. Hu Albert ; Furman Burford J. ; Abushaban Mohamed, Apparatus and method for polishing a flat surface using a belted polishing pad.
  2. Hanawa Hiroji, Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor.
  3. Hanawa Hiroji (Sunnyvale CA), Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor.
  4. M'Saad, Hichem; Cho, Seon Mee; Tribula, Dana, Barrier layer deposition using HDP-CVD.
  5. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, CVD of silicon oxide using TEOS decomposition and in-situ planarization process.
  6. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  7. Sanjeev Jain ; Zheng Yuan, Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone.
  8. Kilgore Michael D. ; van den Hoek Wilbert G. M. ; Rau Christopher J. ; van Schravendijk Bart J. ; Tobin Jeffrey A. ; Mountsier Thomas W. ; Oswalt James C., Chemical vapor deposition system including dedicated cleaning gas injection.
  9. Patrick Roger (Santa Clara CA) Bose Frank (Wettingen CA CHX) Schoenborn Philippe (San Jose CA) Toda Harry (Santa Clara CA), Coil configurations for improved uniformity in inductively coupled plasma systems.
  10. Jang Syun-Ming (Hsin-Chu TWX) Yu Chen-Hua (Keelung City TWX), Deposit-etch-deposit ozone/teos insulator layer method.
  11. Li, Weimin; Rueger, Neal R., Deposition and chamber treatment methods.
  12. Li Shijian ; Wang Yaxin ; Redeker Fred C. ; Ishikawa Tetsuya ; Collins Alan W., Deposition chamber and method for depositing low dielectric constant films.
  13. Li, Shijian; Wang, Yaxin; Redeker, Fred C.; Ishikawa, Tetsuya; Collins, Alan W., Deposition chamber and method for depositing low dielectric constant films.
  14. Shijian Li ; Yaxin Wang ; Fred C. Redeker ; Tetsuya Ishikawa ; Alan W. Collins, Deposition chamber and method for depositing low dielectric constant films.
  15. Jain Manoj K. (Plano TX), Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films.
  16. Hatano Tatsuo,JPX ; Murakami Seishi,JPX ; Tada Kunihiro,JPX, Film forming and dry cleaning apparatus and method.
  17. Bayman, Atiye; Rahman, Md Sazzadur; Zhang, Weijie; van Schravendijk, Bart; Gauri, Vishal; Papasoulitotis, George D.; Singh, Vikram, Gap fill for high aspect ratio structures.
  18. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  19. Keller John Howard ; Coultas Dennis Keith, Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel.
  20. Van Cleemput Patrick A. ; Mountsier Thomas W., High aspect ratio gapfill process by using HDP.
  21. Fairbairn Kevin (Saratoga CA) Nowak Romuald (Cupertino CA), High density plasma CVD and etching reactor.
  22. Koji Kishimoto JP; Kenichi Koyanagi JP, High density plasma enhanced chemical vapor deposition method.
  23. Narwankar Pravin ; Murugesh Laxman ; Sahin Turgut ; Orczyk Maciek ; Qiao Jianmin, High deposition rate recipe for low dielectric constant films.
  24. Papasouliotis George D. ; Chakravarti Ashima B. ; Conti Richard A. ; Economikos Laertis ; Van Cleemput Patrick A., High throughput chemical vapor deposition process capable of filling high aspect ratio structures.
  25. Chebi Robert P. (Austin TX) Mittal Sanjiv (Fremont CA), High throughput interlevel dielectric gap filling process.
  26. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  27. Grill Alfred ; Jahnes Christopher Vincent ; Patel Vishnubhai Vitthalbhai ; Perraud Laurent Claude,FRX, Hydrogenated oxidized silicon carbon material.
  28. Zhong Qiang Hua ; Kasra Khazeni, In situ deposition and integration of silicon nitride in a high density plasma reactor.
  29. Redeker Fred C. ; Nowak Romuald ; Ishikawa Tetsuya ; Detrick Troy ; Pinson ; II Jay Dee, Inductively coupled HDP-CVD reactor.
  30. Shufflebotham Paul Kevin ; McMillin Brian ; Demos Alex ; Nguyen Huong ; Berney Butch ; Ben-Dor Monique, Inductively coupled plasma CVD.
  31. Otsubo Toru (Fujisawa JPX) Yamaguchi Yasuhiro (Chigasaki JPX), Insulating film forming method for semiconductor device interconnection.
  32. Nag Somnath S. ; Chatterjee Amitava ; Chen Ih-Chin, Integrated circuit insulator and method.
  33. McInerney Edward J. (Milpitas CA) Dornseif E. Ronald (Scotts Valley CA) Zetterquist Norman E. (Santa Cruz CA), Interlayer dielectric process.
  34. Andideh Ebrahim, Interlayer dielectric with a composite dielectric stack.
  35. Jang Syun-Ming,TWX ; Fu Chu-Yun,TWX, Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS.
  36. Morley Roland M. (Tempe AZ) Keil O\Dell (Paradise Valley AZ), Light-weight night vision device.
  37. Ravi Tirunelveli S., Low dielectric constant silicon dioxide sandwich layer.
  38. Kaganowicz Grzegorz (Belle Mead NJ) Robinson John W. (Levittown PA), Low temperature method of deposition silicon dioxide.
  39. Fonash, Stephen J.; Lin, Xin; Reber, Douglas M., Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications.
  40. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  41. Ye Yan ; Ma Diana Xiaobing ; Yin Gerald Zheyao ; Prasad Keshav ; Siegel Mark ; Mak Steve S. Y. ; Martinez Paul ; Papanu James S. ; Lu Danny Chien, Method and apparatus for cleaning by-products from plasma chamber surfaces.
  42. Robles Stuardo, Method and apparatus for depositing a multilayered low dielectric constant film.
  43. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  44. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  45. Hong Soonil ; Ryu Choon Kun ; Nault Michael P. ; Singh Kaushal K. ; Lam Anthony ; Rana Virendra V. S. ; Conners Andrew, Method and apparatus for improving gap-fill capability using chemical and physical etchbacks.
  46. Zhang Jie (East Lansing MI) Asmussen Jes (Okemos MI), Method and apparatus for plasma treatment of a surface.
  47. Fitzsimmons, John A.; Ivers, Thomas H.; Smetana, Pavel, Method for cleaning and preconditioning a chemical vapor deposition chamber dome.
  48. Denison Dean R. ; Lam James, Method for depositing fluorine doped silicon dioxide films.
  49. Kwok Kurt (Mountain View CA) Robertson Robert (Palo Alto CA), Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity.
  50. Rossman Kent, Method for deposition of a conformal layer on a substrate.
  51. Jucha Rhett B. (Celeste TX) Davis Cecil J. (Greenville TX) Tang Tom (Dallas TX) Loewenstein Lee M. (Plano TX), Method for etching titanium nitride local interconnects.
  52. Hirata Noriyuki,JPX, Method for formation of thin film.
  53. Okano Haruo (Tokyo JPX) Noguchi Sadahisa (Tokyo JPX) Sekine Makoto (Yokohama JPX), Method for forming a film on a substrate by activating a reactive gas.
  54. Okano Haruo,JPX ; Noguchi Sadahisa,JPX ; Sekine Makoto,JPX, Method for forming a film on a substrate by activating a reactive gas.
  55. Wang,Shulin; Sanchez,Errol Antonio C.; Chen,Aihua, Method for forming a high quality low temperature silicon nitride film.
  56. Lee Chang Jae,KRX ; Park Nae Hak,KRX, Method for forming metal line of semiconductor device.
  57. Tsai Meng-Jin,TWX ; Lur Water,TWX ; Chen Chin-Lai,TWX, Method for forming shallow trench isolation.
  58. Lam James ; Hodul David, Method for microwave plasma substrate heating.
  59. Denison Dean R. ; Saproo Ajay ; Hodul David T., Method for preparing nitrogen surface treated fluorine doped silicon dioxide films.
  60. Roche Gregory A. ; Hodul David T. ; Vahedi Vahid, Method for reduction of plasma charging damage during chemical vapor deposition.
  61. Kim Sung C. (Boise ID) Meikle Scott (Boise ID), Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP).
  62. Rana Virendra V. S. ; Conners Andrew ; Gupta Anand ; Guo Xin ; Hong Soonil, Method for submicron gap filling on a semiconductor substrate.
  63. Wang Ruiping ; Yin Gerald Z. ; Wu Robert W. ; Ding Jian, Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide.
  64. Raaijmakers Ivo ; Pomarede Christopher Fran.cedilla.ois Lilian,FRX ; van der Jengd Cornelius Alexander ; Gschwandtner Alexander,DEX ; Grassl Andreas,DEX, Method of depositing silicon with high step coverage.
  65. Nulty James E. (San Jose CA) Trammel Pamela S. (San Jose CA), Method of etching an oxide layer.
  66. Qian Lingqian (San Jose CA) Schmidt Melvin C. (San Jose CA) Nobinger Glenn L. (Santa Clara CA), Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition.
  67. Liu Chih-Chien,TWX ; Wu Juan-Yuan,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Method of gap filling.
  68. Shufflebotham Paul Kevin ; Weise Mark, Method of high density plasma CVD gap-filling.
  69. Yamazaki Shunpei,JPX ; Sakama Mitsunori,JPX ; Fukada Takeshi,JPX, Method of manufacturing a semiconductor device.
  70. Matsuki Nobuo,JPX ; Van Der Hilst Johannes Bart Cornelis,JPX, Method of manufacturing fluorine-containing silicon oxide films for semiconductor device.
  71. Terasaki Masato,JPX ; Tsutsuguchi Kazunori,JPX, Method of plasma processing a substrate placed on a substrate table.
  72. Nasser-Faili Firooz ; Herb John A. ; Monreno Miguel A., Method of polishing CVD diamond films by oxygen plasma.
  73. Chun, Kwang-Youl; Lee, Yun-Jae; Lee, Won-Seong; Oh, Jeong-Hoon; Lee, Kyu-Hyun, Method of processing a defect source at a wafer edge region in a semiconductor manufacturing.
  74. Vassiliev Vladislav,SGX, Method of silicon oxide and silicon glass films deposition.
  75. Fukuyama Ryooji,JPX ; Nawata Makoto,JPX ; Kakehi Yutaka,JPX ; Kawahara Hironobu,JPX ; Sato Yoshiaki,JPX ; Torii Yoshimi,JPX ; Kawaraya Akira,JPX ; Sato Yoshie,JPX, Method of treating samples.
  76. Blalock Guy T. (Boise ID) Doan Trung T. (Boise ID), Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage.
  77. Chakravarti Ashima B. ; Conti Richard A. ; Cote Donna R. ; Liucci Frank V. ; Nguyen Son V., Methods and apparatus for filling high aspect ratio structures with silicate glass.
  78. M'Saad Hichem,FRX, Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications.
  79. Pirkle David R., Methods for filling trenches in a semiconductor wafer.
  80. Shibata Makoto,JPX ; Terai Haruhiko,JPX ; Komuro Hirokazu,JPX, Methods for manufacturing a substrate for a liquid jet recording head, liquid jet recording head, and liquid jet recording apparatus.
  81. Yamazaki Shunpei,JPX, Microwave enhanced CVD system under magnetic field.
  82. Yamazaki, Shunpei, Microwave enhanced CVD system under magnetic field.
  83. Liu Chih-Chien,TWX ; Chen Kuen-Jian,TWX ; Chen Yu-Hao,TWX ; Wu J. Y.,TWX ; Lur Water,TWX ; Sun Shih-Wei,TWX, Multi-step high density plasma chemical vapor deposition process.
  84. Xia, Li-Qun; Yieh, Ellie, Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill.
  85. Cain John L. (Schertz TX), Optimization of dry etching through the control of helium backside pressure.
  86. Iyer Ravi, Planarization using plasma oxidized amorphous silicon.
  87. Hudgens Stephen J. (Southfield MI) Johncock Annette G. (Royal Oak MI) Ovshinsky Stanford R. (Bloomfield Hills MI) Nath Prem (Rochester MI), Plasma deposited coatings, and low temperature plasma method of making same.
  88. van Os Ron ; Durbin William J. ; Matthiesen Richard H. ; Fenske Dennis C. ; Ross Eric D., Plasma enhanced chemical processing reactor and method.
  89. Young Lydia J. ; Pacak Vojtech, Plasma generating apparatus having an electrostatic shield.
  90. Hama Kiichi,JPX ; Hata Jiro,JPX ; Hongoh Toshiaki,JPX, Plasma process apparatus.
  91. Otsubo Toru (Fujisawa JPX) Aiuchi Susumu (Yokohama JPX) Kamimura Takashi (Yokohama JPX) Noguchi Minoru (Yokohama JPX) Fujii Teru (Chigasaki JPX), Plasma processing method and apparatus for carrying out the same.
  92. Sato Yasue (Kawasaki JPX), Plasma processing method and plasma processing apparatus.
  93. Ueda Shigenori,JPX ; Hashizume Junichiro,JPX ; Tsuchida Shinji,JPX, Plasma processing method and plasma processing apparatus.
  94. Ashtiani Kaihan Abidi (Nanuet NY), Plasma producing method and apparatus including an inductively-coupled plasma source.
  95. Deguchi Yoichi (Machida JPX) Kawakami Satoru (Sagamihara JPX) Koyama Shiro (Fuchu JPX) Ishikawa Kenji (Sagamihara JPX), Plasma treatment apparatus having a workpiece-side electrode grounding circuit.
  96. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, Plasma-enhanced CVD process using TEOS for depositing silicon oxide.
  97. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburgh CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San , Process for PECVD of silicon oxide using TEOS decomposition.
  98. Denison Dean R. ; Weise Mark, Process for depositing a SiOx film having reduced intrinsic stress and/or reduced hydrogen content.
  99. Brouquet Pierre,FRX, Process for electrical insulation in microelectronics, applicable in narrow cavities, by deposition of oxide in the visc.
  100. Hirooka Masaaki (Toride JPX) Ogawa Kyosuke (Tokyo JPX) Ishihara Shunichi (Ebina JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposition film.
  101. Hirooka Masaaki,JPX ; Ogawa Kyosuke,JPX ; Ishihara Shunichi,JPX ; Shimizu Isamu,JPX, Process for forming deposition film.
  102. Tsukune Atuhiro (Kawasaki JPX) Furumura Yuji (Kawasaki JPX) Masanobu Hatanaka (Kawasaki JPX), Process for forming silicon oxide film.
  103. Kato Takashi (Kawasaki JPX), Process for forming thin films by plasma CVD for use in the production of semiconductor devices.
  104. Koemtzopoulos C. Robert ; Kozakevich Felix, Process for precoating plasma CVD reactors.
  105. Nakagawa Katsumi,JPX, Process for preparing a functional thin film by way of the chemical reaction among active species.
  106. Berenguer Marc,FRX ; Pons Michel,FRX, Process for treating a semiconductor substrate comprising a surface-treatment step.
  107. Ishikawa Tetsuya ; Krishnaraj Padmanabhan ; Niazi Kaveh ; Hanawa Hiroji, Process kit.
  108. Hanawa Hiroji ; Yin Gerald Zheyao ; Ma Diana X. ; Salzman Phil M. ; Loewenhardt Peter ; Zhao Allen, RF plasma reactor with hybrid conductor and multi-radius dome ceiling.
  109. Iyer Ravi, Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines.
  110. Law Kam S. (Union City CA) Leung Cissy (Fremont CA) Tang Ching C. (San Francisco CA) Collins Kenneth S. (San Jose CA) Chang Mei (Cupertino CA) Wong Jerry Y. K. (Union City CA) Wang David Nin-Kou (Cup, Reactor chamber self-cleaning process.
  111. Matsuura Masazumi (Hyogo JPX), Semiconductor device having an interlayer insulating film of high crack resistance.
  112. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing.
  113. Orczyk Maciek ; Murugesh Laxman ; Narwankar Pravin, Sequencing of the recipe steps for the optimal low-k HDP-CVD processing.
  114. Jang Syun-Ming,TWX ; Fu Chu-Yun,TWX ; Yu Chen-Hua Douglas,TWX, Shallow trench isolation filled by high density plasma chemical vapor deposition.
  115. Moon Peter K. ; Landau Berni W. ; Krick David T., Shallow trench isolation technique.
  116. Olmer Leonard J. (Orlando FL), Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced CVD.
  117. Inaba Yutaka (Hyogo JPX) Kobayashi Kiyoteru (Hyogo JPX), Silicon nitride film formation method.
  118. Chew Peter,SGX, Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrat.
  119. Law Kam (Union City CA) Robertson Robert (Palo Alto CA) Feng Guofu J. (San Jose CA), Single chamber CVD process for thin film transistors.
  120. Tanaka Yoichiro, Step coverage and overhang improvement by pedestal bias voltage modulation.
  121. Dawson Robert ; Michael Mark W. ; Bandyopadhyay Basab ; Fulford ; Jr. H. Jim ; Hause Fred N. ; Brennan William S., Substantially planar semiconductor topography using dielectrics and chemical mechanical polish.
  122. Redeker Fred C. ; Moghadam Farhad ; Hanawa Hiroji ; Ishikawa Tetsuya ; Maydan Dan ; Li Shijian ; Lue Brian ; Steger Robert J. ; Wong Manus ; Wong Yaxin ; Sinha Ashok K., Symmetric tunable inductively coupled HDP-CVD reactor.
  123. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  124. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process.
  125. Ito Hiroki (Hyogo JPX) Ina Teruo (Hyogo JPX), Thin film forming device.
  126. Huang, Tsung-Hsun; Tu, Yeur-Luen; Yu, Chung Yi, Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches.
  127. Sichanugrist Porponth (Bangkok THX) Fujikake Shinji (Kanagawa JPX) Ota Hiromitsu (Kanagawa JPX), Thin-film solar cell and method of manufacturing same.
  128. Sun Sey-Ping ; Spikes Thomas E. ; Hause Fred N., Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source.
  129. Rossman, Kent; Li, Zhuang; Lee, Young, Trench fill with HDP-CVD process including coupled high power density plasma deposition.
  130. Rasheed,Muhammad M.; Kim,Steven H, Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas.
  131. Patrick A. Van Cleemput ; George D. Papasouliotis ; Mark A. Logan ; Bart van Schravendijk ; William J. King, Very high aspect ratio gapfill using HDP.
  132. Wang Chin-Kun (San-Chung TWX) Yu Chen-Hua Douglas (Keelung TWX) Lin Lu-Min (Hsin-Chu TWX), method of forming inter-metal-dielectric structure.

이 특허를 인용한 특허 (55)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  3. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  4. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  5. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  6. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  7. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  8. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  9. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  10. Pore, Viljami, Method and apparatus for filling a gap.
  11. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  12. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  13. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  14. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  15. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  16. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  17. Kang, DongSeok, Method for depositing thin film.
  18. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  19. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  20. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  21. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  22. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  23. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  24. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  25. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  26. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  27. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  28. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  29. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  30. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  31. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  32. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  33. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  34. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  35. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  36. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  37. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  38. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  39. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  40. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  41. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  42. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  43. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  44. Zhu, Chiyu, Selective film deposition method to form air gaps.
  45. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  46. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  47. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  48. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  49. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  50. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  51. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  52. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  53. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  54. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  55. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로