$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Conductive layers for hafnium silicon oxynitride films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/31
출원번호 UP-0355490 (2006-02-16)
등록번호 US-7709402 (2010-06-03)
발명자 / 주소
  • Ahn, Kie Y.
  • Forbes, Leonard
출원인 / 주소
  • Micron Technology, Inc.
대리인 / 주소
    Schwegman, Lundberg & Woessner, P.A.
인용정보 피인용 횟수 : 6  인용 특허 : 587

초록

Electronic apparatus and methods of forming the electronic apparatus include a HfSiON film on a substrate for use in a variety of electronic systems. The HfSiON film may be structured as one or more monolayers. The HfSiON film may be formed by atomic layer deposition. Electrodes to a dielectric cont

대표청구항

What is claimed is: 1. A method comprising: forming a first nanolaminate on a substrate, the nanolaminate containing a first plurality of different insulating materials including a first layer of hafnium silicon oxynitride (HfxSiyOzNr with x>0, y>0, z>0, and r>0), the first laye

이 특허에 인용된 특허 (587)

  1. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., 4 F2 folded bit line DRAM cell structure having buried bit and word lines.
  2. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, 4 F2 folded bit line dram cell structure having buried bit and word lines.
  3. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Adsorption process for atomic layer deposition.
  4. Flagan, Richard C.; Boer, Elizabeth; Ostraat, Michele L.; Atwater, Harry A.; Bell, II, Lloyd D., Aerosol silicon nanoparticles for use in semiconductor device fabrication.
  5. Lee, Kam Leung; Roy, Ronnen Andrew, All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS.
  6. Vaartstra, Brian A., Aluminum-containing material and atomic layer deposition methods.
  7. Visokay, Mark R.; Colombo, Luigi; Rotondaro, Antonio L. P., Anneal sequence for high-κ film property optimization.
  8. Matijasevic, Vladimir; Kaplan, Todd, Apparatus and method for deposition of thin films.
  9. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  10. Sandhu Gurtej S. ; Iyer Ravi ; Sharan Sujit, Apparatus and method to increase gas residence time in a reactor.
  11. Gadgil, Prasad Narhar, Apparatus for atomic layer chemical vapor deposition.
  12. Dutta Arunava (Danvers) Dullea Leonard V. (Peabody) Dale Ernest A. (Hamilton MA), Apparatus for coating small solids.
  13. DeBoer Scott J. ; Thakur Randhir P. S., Apparatus for forming a high dielectric film.
  14. Won-Jae Lee KR; In-Kyu You KR; Yil-Suk Yang KR; Byoung-Gon Yu KR; Kyoung-Ik Cho KR, Apparatus for forming strontium-tantalum-oxide thin film.
  15. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  16. Deguchi Mikio (Itami JPX), Apparatus for producing semiconductor devices.
  17. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed.
  18. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited Zr-Sn-Ti-O films.
  19. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited Zr-Sn-Ti-O films using TiI4.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrAlOdielectric layers including ZrAlO.
  21. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited ZrTiOfilms.
  22. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  23. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited lanthanide doped TiOx dielectric films.
  24. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited nanolaminates of HfO/ZrOfilms as gate dielectrics.
  25. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  26. Kim, Yong-Il; Lee, Won-Hyung; Cho, Byung-Ha, Atomic layer deposition apparatus and process using remote plasma.
  27. Paranjpe,Ajit P.; Gopinath,Sanjay; Omstead,Thomas R.; Bubber,Randhir S.; Mao,Ming, Atomic layer deposition for fabricating thin films.
  28. Marsh, Eugene; Vaartstra, Brian; Castrovillo, Paul J.; Basceri, Cem; Derderian, Garo J.; Sandhu, Gurtej S., Atomic layer deposition methods.
  29. Vaartstra,Brian A., Atomic layer deposition methods.
  30. Vaartstra,Brian A., Atomic layer deposition methods and chemical vapor deposition methods.
  31. Zheng, Lingyi A.; Ping, Er-Xuan; Breiner, Lyle; Doan, Trung T., Atomic layer deposition of capacitor dielectric.
  32. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  33. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  34. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  35. Hollmer Shane ; Chen Pau-Ling, Auto adjusting window placement scheme for an NROM virtual ground array.
  36. Brenda D. Kraus ; John T. Moore ; Scott J. DeBoer, Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  37. Kraus Brenda D. ; Moore John T. ; DeBoer Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  38. Kraus, Brenda D.; Moore, John T.; DeBoer, Scott J., Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride.
  39. Visokay, Mark Robert; Rotondaro, Antonio Luis Pacheco; Colombo, Luigi, Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing.
  40. Agarwal, Vishnu K.; Sandhu, Gurtej S., Boron incorporated diffusion barrier material.
  41. Agarwal, Vishnu K.; Sandhu, Gurtej S., Boron incorporated diffusion barrier material.
  42. Agarwal, Vishnu K.; Sandhu, Gurtej S., Boron incorporated diffusion barrier material.
  43. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  44. Maiti Bikas ; Tobin Philip J. ; Mogab C. Joseph ; Hobbs Christopher ; Frisa Larry E.,DEX, CMOS semiconductor devices and method of formation.
  45. Sun Shi-Chung, CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET.
  46. John J. Hautala ; Johannes F. M. Westendorp, CVD of integrated Ta and TaNx films from tantalum halide precursors.
  47. Eldridge, Jerome M., Capacitor dielectric having perovskite-type crystalline structure.
  48. Sang-don Nam KR; Jin-won Kim KR, Capacitor of semiconductor device.
  49. Ahn, Kie Y.; Forbes, Leonard, Capacitor structure forming methods.
  50. Liu Yauh-Ching ; Kao David Y., Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures.
  51. Hamilton, Darlene G.; Wang, Janet S. Y.; Derhacobian, Narbeh; Thurgate, Tim; Han, Michael K., Charge injection.
  52. Wilk, Glen D., Chemical vapor deposition of silicate high dielectric constant materials.
  53. Akram, Salman, Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound.
  54. Salman Akram, Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound.
  55. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  56. Sandhu Gurtej S. ; Fazan Pierre, Chemical vapor deposition using organometallic precursors.
  57. Noble, Wendell P.; Forbes, Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  58. Marsh Eugene P., Circuitry comprising roughened platinum layers, platinum-containing materials, capacitors comprising roughened platinum.
  59. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  60. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  61. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  62. Leonard Forbes, Circuits and methods for a memory cell with a trench plate trench capacitor and a vertical bipolar read device.
  63. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same.
  64. Masujima Sho,JPX ; Miyauchi Eisaku,JPX ; Miyajima Toshihiko,JPX ; Watanabe Hideaki,JPX, Clean transfer method and apparatus therefor.
  65. Lee Jian-Hsing,TWX ; Peng Kuo-Reay,TWX ; Chen Shui-Hung,TWX ; Shih Jiaw-Ren,TWX, Clipped sine wave channel erase method to reduce oxide trapping charge generation rate of flash EEPROM.
  66. Desu Seshu (Blacksburg VA) Peng Chien-Hsiung (Blacksburg VA) Shi Tian (Beijing CNX), Coating porous materials with metal oxides and other ceramics by MOCVD.
  67. Ahn, Kie Y.; Forbes, Leonard, Composite dielectric forming methods and composite dielectrics.
  68. Czubatyj Wolodymyr ; Ovshinsky Stanford R. ; Strand David A. ; Klersy Patrick ; Kostylev Sergey ; Pashmakov Boil, Composite memory material comprising a mixture of phase-change memory material and dielectric material.
  69. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  70. Raaijmakers, Ivo; Haukka, Suvi P.; Granneman, Ernst H. A., Conformal thin films over textured capacitor electrodes.
  71. Ahn, Kie Y.; Forbes, Leonard, Copper technology for ULSI metallization.
  72. Ahn,Kie Y.; Forbes,Leonard, Crystalline or amorphous medium-K gate oxides, Y0and Gd0.
  73. Forbes Leonard ; Ahn Kie Y., DEAPROM and transistor with gallium nitride or gallium aluminum nitride gate.
  74. Forbes Leonard ; Ahn Kie Y., DEAPROM and transistor with gallium nitride or gallium aluminum nitride gate.
  75. Forbes, Leonard, DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators.
  76. Vaartstra,Brian A.; Westmoreland,Donald; Marsh,Eugene P.; Uhlenbrock,Stefan, Deposition methods using heteroleptic precursors.
  77. Marsh,Eugene; Vaartstra,Brian; Castrovillo,Paul J.; Basceri,Cem; Derderian,Garo J.; Sandhu,Gurtej S., Deposition methods with time spaced and time abutting precursor pulses.
  78. Kiyoshi Nikawa JP, Device and method for nondestructive inspection on semiconductor device.
  79. Shinriki, Hiroshi; Homma, Koji, Device and method for processing substrate.
  80. Lee, Jongho; Lee, Nae-In, Dielectric layer for semiconductor device and method of manufacturing the same.
  81. Ahn,Kie Y.; Forbes,Leonard, Dielectric layer forming method and devices formed therewith.
  82. Marsh,Eugene P., Dielectric material forming methods.
  83. Andreoni,Wanda; Curioni,Alessandro; Shevlin,Stephen A., Dielectric materials.
  84. Baker, Frank Kelsey, Dielectric storage memory cell having high permittivity top dielectric and method therefor.
  85. Baker,Frank Kelsey, Dielectric storage memory cell having high permittivity top dielectric and method therefor.
  86. Rosenthal Bruce D. (Los Gatos CA), Differential analog memory cell and method for adjusting same.
  87. Forbes Leonard, Differential flash memory cell and method for programming.
  88. Marsh Eugene P., Diffusion barrier layers and methods of forming same.
  89. DiMeo ; Jr. Frank ; Bilodeau Steven M. ; Van Buskirk Peter C., Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer.
  90. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Discrete devices including EAPROM transistor and NVRAM memory cell with edge defined ferroelectric capacitance, methods for operating same, and apparatuses including same.
  91. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  92. Ahn, Kie; Forbes, Leonard, Doped aluminum oxide dielectrics.
  93. Ma Yanjun ; Ono Yoshi, Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same.
  94. Chen Chung-Ju,TWX ; Wang Mam-Tsung,TWX, Double density MROM array structure.
  95. Bauer Mark E. (Cameron Park CA) Frary Kevin W. (Fair Oaks CA) Talreja Sanjay S. (Folsom CA), Drain bias multiplexing for multiple bit flash cell.
  96. Richardson William F. (Richardson TX), Dual EPROM cells on trench walls with virtual ground buried bit lines.
  97. DiMaria Donelli J. (Ossining NY) Dong David W. (Peekskill NY), Dual electron injector structures using a conductive oxide between injectors.
  98. Reedy Ronald E. (San Diego CA) Shimabukuro Randy L. (San Diego CA) Garcia Graham A. (San Diego CA), Dual polarity floating gate MOS analog memory device.
  99. Nakamura Masayuki (Akishima JPX) Kawahara Takayuki (Hachiouji JPX) Kajigaya Kazuhiko (Iruma JPX) Oshima Kazuyoshi (Ohme JPX) Takahashi Tsugio (Ohme JPX) Otori Hiroshi (Ohme JPX) Matsumoto Tetsuro (Hi, Dynamic RAM and information processing system using the same.
  100. Radens Carl ; Gruening Ulrike ; DeBrosse John ; Mandelman Jack, Dynamic random access memory.
  101. Forbes Leonard ; Ahn Kie Y. ; Noble Wendell P. ; Reinberg Alan R., Dynamic random access memory (DRAM) cells with repressed ferroelectric memory methods of reading same, and apparatuses including same.
  102. Mizutani Yoshihisa (Tokyo JPX), Electrically erasable and programmable read only memory.
  103. Buynoski Matthew S. ; Besser Paul R. ; Xang Qi ; King Paul L. ; Paton Eric N., Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  104. Lee Woo-Hyeong ; Manchanda Lalita, Electronic components with doped metal oxide dielectric materials and a process for making electronic components with do.
  105. Katoh Riichi (Yokohama JPX) Tanamoto Tetsufumi (Kawasaki JPX) Takahashi Shigeki (Kawasaki JPX), Electronic device.
  106. Fujiki Mitsushi,JPX ; Cross Jeffrey S.,JPX ; Tsukada Mineharu,JPX, Electronic device having perovskite-type oxide film, production thereof, and ferroelectric capacitor.
  107. Kashihara Keiichiro (Hyogo JPX) Okudaira Tomonori (Hyogo JPX) Itoh Hiromi (Hyogo JPX), Electronic device using zirconate titanate and barium titanate ferroelectrics in insulating layer.
  108. Colombo,Luigi, Encapsulated MOS transistor gate structures and methods for making the same.
  109. Colombo,Luigi, Encapsulated MOS transistor gate structures and methods for making the same.
  110. Bojarczuk, Jr., Nestor A.; Cartier, Eduard A.; Guha, Supratik, Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique.
  111. Pan, James N.; Besser, Paul R.; Woo, Christy; Ngo, Minh Van; Yin, Jinsong, Engineered metal gate electrode.
  112. Meng, Shuang; Derderian, Garo J.; Sandhu, Gurtej Singh, Enhanced atomic layer deposition.
  113. Meng,Shuang; Derderian,Garo J.; Sandhu,Gurtej Singh, Enhanced atomic layer deposition.
  114. Matthew S. Buynoski ; Paul R. Besser ; Paul L. King ; Eric N. Paton ; Qi Xiang, Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors.
  115. Ahn, Kiey Y.; Forbes, Leonard, Evaporated LaA1O3 films for gate dielectrics.
  116. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-K dielectrics.
  117. Ahn, Kie Y.; Forbes, Leonard, Evaporation of Y-Si-O films for medium-k dielectrics.
  118. Er-Xuan Ping, Even nucleation between silicon and oxide surfaces for thin silicon nitride film growth.
  119. Cleary Thomas J. ; Wing James C., Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition.
  120. Tai-Ju Chen TW; Hua-Chou Tseng TW, Fabrication of a shallow trench isolation by plasma oxidation.
  121. Green Robert S. (Boise ID) Moy Thomas H. (Boise ID), Fast sense amplifier.
  122. Takeuchi Kan (Kodaira JPX) Horiguchi Masashi (Kawasaki JPX) Aoki Masakazu (Tokorozawa JPX) Matsuno Katsumi (Kokubunji JPX) Sakata Takeshi (Kunitachi JPX) Etoh Jun (Hachioji JPX) Nakagome Yoshinobu (H, Ferroelectric memory.
  123. Chern Wen-Foo (Wayland MA) Wilson Dennis (Colorado Springs CO), Ferroelectric memory sensing scheme using bit lines precharged to a logic one voltage.
  124. Eliason Jarrod ; Kraus William F., Ferroelectric non-volatile latch circuits.
  125. Koike Hiroki (Tokyo JPX), Ferroelectric random-access memory.
  126. Igarashi Yasushi,JPX, Ferroelectric transistors, semiconductor storage devices, method of operating ferroelectric transistors and method of manufacturing ferromagnetic transistors.
  127. Forbes, Leonard, Ferroelectric write once read only memory for archival storage.
  128. Ahn, Kie Y.; Forbes, Leonard, Field emission display having porous silicon dioxide layer.
  129. Ahn, Kie Y.; Forbes, Leonard, Field emission display having reduced power requirements and method.
  130. Noble Wendell P. ; Forbes Leonard, Field programmable logic arrays with vertical transistors.
  131. Noble, Wendell P.; Forbes, Leonard, Field programmable logic arrays with vertical transistors.
  132. Wendell P. Noble ; Leonard Forbes, Field programmable logic arrays with vertical transistors.
  133. Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Tabery, Cyrus E., Finfet gate formation using reverse trim of dummy gate.
  134. Vaartstra Brian A. (Nampa ID), Five- and six-coordinate precursors for titanium nitride deposition.
  135. Yider Wu ; Jean Y. Yang ; Hidehiko Shiraiwa ; Che-Hoo Ng, Flash memory erase speed by fluorine implant or fluorination.
  136. Forbes,Leonard; Eldridge,Jerome M., Flash memory with low tunnel barrier interpoly insulators.
  137. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  138. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  139. Forbes Leonard, Flash memory with microcrystalline silicon carbide film floating gate.
  140. Forbes Leonard, Flash memory with nanocrystalline silicon film floating gate.
  141. Chan Tsiu C. ; Nguyen Thi N., Floating gate content addressable memory.
  142. Lowrey Tyler A. (Boise ID) Kinney Wayne I. (Boise ID), Folded bit line ferroelectric memory device.
  143. Yu, Bin; Wu, David, Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation.
  144. Ahn, Kie Y.; Forbes, Leonard, Formation of metal oxide gate dielectric.
  145. Kie Y. Ahn ; Leonard Forbes, Formation of metal oxide gate dielectric.
  146. Hsu Louis L. (Fishkill NY) Mathad Gangadhara S. (Poughkeepsie NY) Joshi Rajiv V. (Yorktown Heights NY), Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps.
  147. Sung Hung-Cheng,TWX ; Kuo Di-Son,TWX ; Hsieh Chia-Ta,TWX ; Lin Yai-Fen,TWX, Forming self-align source line for memory array.
  148. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Four F.sup.2 folded bit line DRAM cell structure having buried bit and word lines.
  149. Sneh, Ofer; Seidel, Thomas E., Fully integrated process for MIM capacitors using atomic layer deposition.
  150. Chen, Ling; Ku, Vincent; Wu, Dien-Yeh; Chung, Hua; Ouye, Alan; Nakashima, Norman, Gas delivery apparatus and method for atomic layer deposition.
  151. Rigby Leslie J. (Bishops Stortford GB2), Gas sensor.
  152. Ahn, Kie Y.; Forbes, Leonard, Gate oxides, and methods of forming.
  153. Talreja Sanjay S. (Citrus Heights CA) Mills Duane (Folsom CA) Javanifard Jahanshir J. (Sacramento CA) Sambandan Sachidanandan (Folsom CA), Gate/source disturb protection for sixteen-bit flash EEPROM memory arrays.
  154. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium lanthanide oxynitride films.
  155. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Hafnium tantalum oxynitride high-k dielectric and metal gates.
  156. Kaushik, Vidya S.; Nguyen, Bich-yen; Pietambaram, Srinivas V.; Schaeffer, III, James Kenyon, High K dielectric film.
  157. Nguyen, Bich-Yen; Zhou, Hong-Wei; Wang, Xiao-Ping, High K dielectric film.
  158. Derraa, Ammar; Sharan, Sujit; Castrovillo, Paul, High aspect ratio contact structure with reduced silicon consumption.
  159. Forbes Leonard ; Noble Wendell P., High density flash memory.
  160. Forbes Leonard ; Noble Wendell P., High density flash memory.
  161. Parsons, Gregory N.; Chambers, James J.; Kelly, M. Jason, High dielectric constant metal silicates formed by controlled metal-surface reactions.
  162. Tigelaar Howard L. (Allen TX), High speed EPROM with reverse polarity voltages applied to source and drain regions during reading and writing.
  163. Thomas Michael E. (Cupertino CA), High temperature interconnect system for an integrated circuit.
  164. Colombo, Luigi; Chambers, James J.; Rotondaro, Antonio L. P.; Visokay, Mark R., High temperature interface layer growth for high-k gate dielectric.
  165. Colombo, Luigi; Quevedo-Lopez, Manuel; Chambers, James J.; Visokay, Mark R.; Rotondaro, Antonio L. P., High-k gate dielectric with uniform nitrogen profile and methods for making the same.
  166. Ahn, Kie Y.; Forbes, Leonard, High-quality praseodymium gate dielectrics.
  167. Misra, Veena; Zhong, Huicai; Hong, ShinNam, High/low work function metal alloys for integrated circuit electrodes.
  168. Noble, Wendell P.; Forbes, Leonard, Highly conductive composite polysilicon gate for CMOS integrated circuits.
  169. Wendell P. Noble ; Leonard Forbes, Highly conductive composite polysilicon gate for CMOS integrated circuits.
  170. Ahn,Kie Y.; Forbes,Leonard, Highly reliable amorphous high-k gate dielectric ZrON.
  171. Ahn, Kie Y.; Forbes, Leonard, Highly reliable amorphous high-k gate dielectric ZrOXNY.
  172. Ahn, Kie Y.; Forbes, Leonard, Highly reliable gate oxide and method of fabrication.
  173. Shawming Ma ; Guoqiang Xing ; Rahim Kavari ; Scott R. Summerfelt ; Tomoyuki Sakoda, Hydrogen-free contact etch for ferroelectric capacitor formation.
  174. Forbes Leonard ; Geusic Joseph E., Information handling system having improved floating gate tunneling devices.
  175. Bell Antony G. (Sunnyvale CA), Insulated gate field-effect transistor read-only memory array.
  176. Farrar, Paul A., Insulators for high density circuits.
  177. Farrar, Paul A., Insulators for high density circuits.
  178. Moise Theodore S. ; Xing Guoqiang ; Visokay Mark ; Gaynor Justin F. ; Gilbert Stephen R. ; Celii Francis ; Summerfelt Scott R. ; Colombo Luigi, Integrated circuit and method.
  179. Tigelaar Howard L. (Allen TX) Haken Roger A. (Dallas TX) Holloway Thomas C. (Dallas TX), Integrated circuit device and process with tin capacitors.
  180. Tigelaar Howard L. (Allen TX) Haken Roger A. (Dallas TX) Holloway Thomas C. (Dallas TX) Groover ; III Robert (Dallas TX), Integrated circuit device and process with tin-gate transistor.
  181. Forbes, Leonard; Eldridge, Jerome M.; Ahn, Kie Y., Integrated circuit memory device and method.
  182. Lee Keun-Ho,KRX ; Choi Chang-Hoon,KRX, Integrated circuit memory devices having nonvolatile single transistor unit cells therein.
  183. Tigelaar Howard L. (Allen TX) Haken Roger A. (Dallas TX) Holloway Thomas C. (Dallas TX) Groover ; III Robert (Dallas TX), Integrated circuit process with TiN-gate transistor.
  184. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same.
  185. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  186. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical fiber interconnects formed through a semiconductor wafer and methods for forming same.
  187. Geusic Joseph E. ; Ahn Kie Y. ; Forbes Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  188. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same.
  189. Ahn, Kie Y.; Forbes, Leonard, Integrated decoupling capacitors.
  190. Jeon, Joong S.; Halliyal, Arvind, Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices.
  191. Arne W. Ballantine ; Douglas A. Buchanan ; Eduard A. Cartier ; Kevin K. Chan ; Matthew W. Copel ; Christopher P. D'Emic ; Evgeni P. Gousev ; Fenton Read McFeely ; Joseph S. Newbury ; Harald , Interfacial oxidation process for high-k gate dielectric process integration.
  192. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films.
  193. Ahn,Kie Y.; Forbes,Leonard, Lanthanide doped TiOdielectric films by plasma oxidation.
  194. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films.
  195. Ahn, Kie Y.; Forbes, Leonard, Lanthanide doped TiOx dielectric films by plasma oxidation.
  196. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  197. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectrics.
  198. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide dielectric layer.
  199. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide/hafnium oxide dielectrics.
  200. Ahn,Kie; Forbes,Leonard, Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics.
  201. Glassman Timothy E. (Danbury CT) Chayka Paul V. (New Milford CT), Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films.
  202. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  203. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based dielectrics for integrated circuit capacitors.
  204. Maria, Jon-Paul; Kingon, Angus Ian, Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors.
  205. Richard Fastow, Low column leakage nor flash array-double cell implementation.
  206. Priel Ury (Cupertino CA) Gray Jerry D. (San Jose CA) Frederick Allen H. (Pacifica CA), Low power write-once, read-only memory array.
  207. Prall Kirk D. (Boise ID) Sandhu Gurtej S. (Boise ID) Meikle Scott G. (Boise ID), Low resistance device element and interconnection structure.
  208. Marsh Eugene P., Low temperature deposition of barrier layers.
  209. Hartstein Allan M. (Chappagua NY) Tischler Michael A. (Danbury CT) Tiwari Sandip (Ossining NY), Low voltage memory.
  210. Sandhu Gurtej S. (Boise ID), Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of l.
  211. Sandhu Gurtej S. (Boise ID) Buley Todd W. (Boise ID), Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of.
  212. Sandhu Gurtej S. (Boise ID), Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistiv.
  213. Sandhu Gurtej S. ; Doan Trung T. ; Lowrey Tyler A., Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  214. Sandhu, Gurtej S.; Doan, Trung T.; Lowrey, Tyler A., Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  215. Sandhu, Gurtej S.; Doan, Trung T.; Lowrey, Tyler A., Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  216. Sandhu, Gurtej S.; Doan, Trung T.; Lowrey, Tyler A., Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  217. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics.
  218. Ahn, Kie Y.; Forbes, Leonard, Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics.
  219. Ahn,Kie Y.; Forbes,Leonard, Low-temperature growth high-quality ultra-thin praseodymium gate dieletrics.
  220. Cho, Hag-ju, METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES THAT INCLUDE A METAL OXIDE LAYER DISPOSED ON ANOTHER LAYER TO PROTECT THE OTHER LAYER FROM DIFFUSION OF IMPURITIES AND INTEGRATED CIRCUIT DEVICES M.
  221. Shimabukuro Randy L. (San Diego CA) Stewart Michael E. (La Jolla CA) Shoemaker Patrick A. (Lemon Grove CA) Garcia Graham A. (San Diego CA), MOS analog memory with injection capacitors.
  222. Visokay,Mark; Colombo,Luigi, MOS transistor gates with doped silicide and methods for making the same.
  223. Forbes, Leonard; Noble, Wendell P.; Cloud, Eugene H., MOSFET technology for programmable address decode and correction.
  224. Forbes Leonard ; Noble Wendell P., Memory address decode array with vertical transistors.
  225. Leonard Forbes ; Wendell P. Noble, Memory address decode array with vertical transistors.
  226. Banks, Gerald J., Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell.
  227. Gerald J. Banks, Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell.
  228. Ward Calvin B. (9580 Crow Canyon Rd. Castro Valley CA 94546), Memory based on arrays of capacitors.
  229. Muralidhar Ramachandran ; Madhukar Sucharita ; Jiang Bo ; White Bruce E. ; Samavedam Srikanth B. ; O'Meara David L. ; Sadd Michael Alan, Memory cell and method for programming thereof.
  230. Noble Wendell P. ; Forbes Leonard ; Ahn Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  231. Noble, Wendell P.; Forbes, Leonard; Ahn, Kie Y., Memory cell having a vertical transistor with buried source/drain and dual gates.
  232. Wendell P. Noble ; Leonard Forbes ; Kie Y. Ahn, Memory cell having a vertical transistor with buried source/drain and dual gates.
  233. Forbes Leonard ; Noble Wendell P. ; Ahn Kie Y., Memory cell with vertical transistor and buried word and body lines.
  234. Leonard Forbes ; Wendell P. Noble ; Kie Y. Ahn, Memory cell with vertical transistor and buried word and body lines.
  235. Gilliam Gary R. (Boise ID) Renfro Steve G. (Boise ID) Cutler Kacey (Boise ID) Ochoa Roland (Boise ID) Schneider Craig E. (Boise ID), Memory device with a sense amplifier.
  236. Ovshinsky Standford R. ; Czubatyj Wolodymyr ; Strand David A. ; Klersy Patrick J. ; Kostylev Sergey ; Pashmakov Boil, Memory element with memory material comprising phase-change material and dielectric material.
  237. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  238. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  239. Forbes Leonard ; Geusic Joseph E., Memory using insulator traps.
  240. Forbes, Leonard; Geusic, Joseph E., Memory using insulator traps.
  241. Leonard Forbes ; Joseph E. Geusic, Memory using insulator traps.
  242. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide nanolaminates.
  243. Forbes,Leonard; Ahn,Kie Y., Memory utilizing oxide-conductor nanolaminates.
  244. Hazani Emanuel (1210 Sesame Dr. Sunnyvale CA 94887), Memory with isolatable expandable bit lines.
  245. Kirlin Peter S. ; Brown Duncan W. ; Baum Thomas H. ; Vaarstra Brian A. ; Gardiner Robin A., Metal complex source reagents for chemical vapor deposition.
  246. Brian A. Vaartstra, Metal complexes with chelating O-and/or N-donor ligands.
  247. Visokay, Mark; Colombo, Luigi; Chambers, James J., Metal gate MOS transistors and methods for making the same.
  248. Forbes,Leonard; Farrar,Paul A.; Ahn,Kie Y., Metal-substituted transistor gates.
  249. Dunham Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  250. Ahn, Kie Y.; Forbes, Leonard, Method and apparatus for the fabrication of ferroelectric films.
  251. Kie Y. Ahn ; Leonard Forbes, Method and apparatus for the fabrication of ferroelectric films.
  252. Bauer Mark E. ; Wells Steven ; Brown David M. ; Javanifard Johnny ; Sweha Sherif ; Hasbun Robert N. ; Gallagher Gary J. ; Rashid Mamun ; Rozman Rodney R. ; Hawk Glen ; Blanchard George ; Winston Mark, Method and circuitry for usage of partially functional nonvolatile memory.
  253. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  254. Geusic, Joseph E.; Forbes, Leonard; Ahn, Kie Y., Method and structure for high capacitance memory cells.
  255. Gardner Mark I., Method and structure for replaceable gate electrode in insulated gate field effect transistors.
  256. Farrar Paul A., Method and support structure for air bridge wiring of an integrated circuit.
  257. Sharan Sujit ; Sandhu Gurtej S., Method for PECVD deposition of selected material films.
  258. Chang, Jane; Lin, You-Sheng; Kepten, Avishai; Sendler, Michael; Levy, Sagy; Bloom, Robin, Method for depositing a coating having a relatively high dielectric constant onto a substrate.
  259. Yamazaki, Shunpei; Arai, Yasuyuki, Method for fabricating a semiconductor device.
  260. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  261. Parrillo Louis C. (Warren NJ) Payne Richard S. (Andover MA), Method for fabricating complementary field effect transistor devices.
  262. Kahng Dawon (Bridgewater Township ; Somerset County NJ) La Bate Ernest Edward (South Plainfield NJ) Lepselter Martin Paul (Summit NJ) Ligenza Joseph Raymond (Califon NJ), Method for fabricating multilayer insulator-semiconductor memory apparatus.
  263. Rotondaro, Antonio L. P.; Visokay, Mark Robert; Chambers, James J.; Colombo, Luigi, Method for fabricating split gate transistor device having high-k dielectrics.
  264. Dalal Hormazdyar M. (Wappingers Falls NY) Ghafghaichi Majid (Poughkeepsie NY) Kasprzak Lucian A. (Hopewell Junction NY) Wimpfheimer Hans (Poughkeepsie NY), Method for fabricating tantalum semiconductor contacts.
  265. Visokay,Mark R.; Colombo,Luigi; Chambers,James J.; Rotondaro,Antonio L. P.; Bu,Haowen, Method for fabricating transistor gate structures and gate dielectrics thereof.
  266. Tarui Yasuo (No. 6-4 ; Minamisawa 5-chome Higashikurume City ; Tokyo JPX) Soutome Yoshihiro (Osaka JPX) Morita Shinichi (Yokosuka JPX) Tanimoto Satoshi (Tokyo JPX), Method for ferroelectric thin film production.
  267. Otsuki, Hayashi; Tada, Kunihiro; Matsuse, Kimihiro, Method for forming TiSiN film, diffusion preventive film comprising TiSiN film, semiconductor device and its production method, and apparatus for forming TiSiN film.
  268. Choi, Sung-Je, Method for forming a dielectric layer of a semiconductor device.
  269. Park Dong Su,KRX, Method for forming a gate insulating film for semiconductor devices.
  270. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  271. Sheng David Y. (Austin TX) Kosa Yasunobu (Austin TX) Urquhart Andrew J. (Pflugerville TX) Cullen Mark J. (Austin TX), Method for forming a lightly-doped drain (LDD) structure in a semiconductor device.
  272. Misra Veena ; Venkatesan Suresh ; Hobbs Christopher C. ; Smith Brad ; Cope Jeffrey S. ; Wilson Earnest B., Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligne.
  273. Geusic Joseph E. ; Forbes Leonard ; Ahn Kie Y., Method for forming high capacitance memory cells.
  274. Noble Wendell P. ; Forbes Leonard, Method for forming high density flash memory.
  275. Maiti Bikas ; Tobin Philip J. ; Hegde Rama I. ; Cuellar Jesus, Method for forming high dielectric constant metal oxides.
  276. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method for forming integrated circuits using high aspect ratio vias through a semiconductor wafer.
  277. Jong-myeong Lee KR; Hyun-seok Lim KR; Byung-hee Kim KR; Gil-heyun Choi KR; Sang-in Lee KR, Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby.
  278. Yun-sook Chae KR; Sang-bom Kang KR; Gil-heyun Choi KR; In-sang Jeon KR, Method for forming metal layer of semiconductor device using metal halide gas.
  279. Vaartstra Brian A., Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands.
  280. Lim, Jung-wook; Yun, Sun-jin, Method for forming nitrogen-containing oxide thin film using plasma enhanced atomic layer deposition.
  281. Yano Yoshihiko,JPX ; Noguchi Takao,JPX ; Nagano Katsuto,JPX, Method for forming oxide thin film and the treatment of silicon substrate.
  282. Vaartstra, Brian A., Method for forming refractory metal oxide layers with tetramethyldisiloxane.
  283. Park Bo Hyun,KRX, Method for forming shallow junction for semiconductor device.
  284. Ahn, Kie Y.; Forbes, Leonard, Method for forming single electron resistor memory.
  285. Ritala, Mikko; Rahtu, Antti; Leskela, Markku; Kukli, Kaupo, Method for growing thin oxide films.
  286. Tu, An-Chun; Huang, Chen-Ming, Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios.
  287. Leu, Jihperng; Wu, Chih-I; Zhou, Ying; Kloster, Grant M., Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics.
  288. Ruff, Alexander; Kegel, Wilhelm; Karcher, Wolfram; Schrems, Martin, Method for increasing the capacitance in a storage trench.
  289. Rotondaro,Antonio L. P.; Mercer,Douglas E.; Colombo,Luigi; Visokay,Mark Robert; Bu,Haowen; Bevan,Malcolm John, Method for integrating high-k dielectrics in transistor devices.
  290. Ahn, Kie Y.; Forbes, Leonard, Method for making a ferroelectric memory transistor.
  291. David Christopher Gilmer, Method for making a hafnium-based insulating film.
  292. Klinedinst Keith A. (Marlboro MA) Gary Richard A. (Everett MA) Lichtensteiger Silvia E. (Acton MA), Method for making moisture insensitive zinc sulfide based luminescent materials.
  293. Sekiguchi Mitsuru,JPX, Method for making semiconductor device containing low carbon film for interconnect structures.
  294. Bhattacharyya Arup (Essex Junction VT) Chu Wei-Kan (Poughkeepsie NY) Howard James K. (Fishkill NY) Wiedman Francis W. (Stowe VT), Method for manufacture of ultra-thin film capacitor.
  295. Tatsuro Maeda JP, Method for manufacturing self-matching transistor.
  296. Givens, John H.; Zahorik, Russell C.; Kraus, Brenda D., Method for metal fill by treatment of mobility layers.
  297. Ahrens Michael G. ; Dejenfelt Anders T. ; Lin Qi ; Olah Robert A., Method for operating flash memory.
  298. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  299. Takahashi Makoto,JPX ; Utsunomiya Hajime,JPX, Method for preparing optical recording medium.
  300. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  301. Bergemont Albert (Palo Alto CA) Chi Min-Hwa (Palo Alto CA), Method for programming a single EPROM or FLASH memory cell to store multiple levels of data that utilizes a floating sub.
  302. Ahn,Kie Y.; Forbes,Leonard, Method including forming gate dielectrics having multiple lanthanide oxide layers.
  303. Chang Yao Wen,TWX ; Tsai Wen Jer,TWX ; Lu Tao Cheng,TWX, Method of controlling multi-state NROM.
  304. Yin, Zhiping, Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures.
  305. Vaartstra Brian A., Method of depositing films by using carboxylate complexes.
  306. Vaartstra Brian A., Method of depositing films on semiconductor devices by using carboxylate complexes.
  307. Huganen, Juha; Kanniainen, Tapio, Method of depositing thin films for magnetic heads.
  308. Janet S. Y. Wang ; Sameer S. Haddad, Method of drain avalanche programming of a non-volatile memory cell.
  309. Janet S. Y. Wang ; Ravi S. Sunkavalli, Method of erasing a non-volatile memory cell using a substrate bias.
  310. Hong Gary (Hsinchu TWX), Method of fabricating a flash memory cell.
  311. Ahn, Kie Y.; Forbes, Leonard, Method of fabricating a highly reliable gate oxide.
  312. Wang Kun-Chih,TWX, Method of fabricating a metal-oxide-semiconductor transistor with a metal gate.
  313. Kenji Kasahara JP, Method of fabricating a semiconductor device.
  314. Leonard Forbes ; Kie Y. Ahn, Method of fabricating a semiconductor-on-insulator memory cell with buried word and body lines.
  315. Hidehiko, Shiraiwa; Halliyal, Arvind; Park, Jaeyong, Method of formation of semiconductor resistant to hot carrier injection stress.
  316. Vaartstra, Brian A.; Doan, Trung Tri, Method of forming a Ta2O5 comprising layer.
  317. Forbes Leonard ; Noble Wendell P., Method of forming a logic array for a decoder.
  318. Kim,Wan don; Kim,Jin won; Won,Seok jun; Yoo,Cha young, Method of forming a metal-insulator-metal capacitor.
  319. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  320. Farrar Paul A., Method of forming a support structure for air bridge wiring of an integrated circuit.
  321. Srinivas, Ramanujapuram A.; Metzger, Brian; Wang, Shulin; Wu, Frederick C., Method of forming a titanium silicide layer on a substrate.
  322. Forbes, Leonard; Ahn, Kie Y., Method of forming a weak ferroelectric transistor.
  323. Sharan Sujit ; Sandhu Gurtej S., Method of forming an electrical contact to a silicon substrate.
  324. Geusic, Joseph E.; Ahn, Kie Y.; Forbes, Leonard, Method of forming an optical fiber interconnect through a semiconductor wafer.
  325. Farrar Paul A., Method of forming foamed polymeric material for an integrated circuit.
  326. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  327. Sandhu Gurtej S. ; Doan Trung T. ; Lowrey Tyler A., Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  328. Sandhu, Gurtej S.; Doan, Trung T.; Lowrey, Tyler A., Method of forming low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer.
  329. Gardiner Robin A. ; Kirlin Peter S. ; Baum Thomas H. ; Gordon Douglas ; Glassman Timothy E. ; Pombrik Sofia ; Vaartstra Brian A., Method of forming metal films on a substrate by chemical vapor deposition.
  330. Fang, Sunfei; Clevenger, Lawrence, Method of forming organic spacers and using organic spacers to form semiconductor device features.
  331. Glass Robert C. (Linkoping NC SEX) Palmour John W. (Cary NC) Davis Robert F. (Raleigh NC) Porter Lisa S. (Raleigh NC), Method of forming platinum ohmic contact to p-type silicon carbide.
  332. Scott A. Bell ; Philip A. Fisher ; Richard C. Nguyen ; Cyrus E. Tabery, Method of forming sub-lithographic spaces between polysilicon lines.
  333. Kim, Yeong-kwan; Park, Young-wook; Lim, Jae-soon; Choi, Sung-je; Lee, Sang-in, Method of forming thin film using atomic layer deposition method.
  334. Vaartstra,Brian A., Method of forming trench isolation in the fabrication of integrated circuitry.
  335. Pekka J. Soininen FI; Kai-Erik Elers FI; Suvi Haukka FI, Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH.
  336. Alain E. Kaloyeros ; Ana Londergan ; Barry Arkles, Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt.
  337. Ahn, Kie Y.; Forbes, Leonard, Method of making a chip packaging device having an interposer.
  338. Ramsbey, Mark T.; Ogle, Robert B.; Hsiao, Tommy C.; Hui, Angela T.; Pham, Tuan Duc; Plat, Marina V.; Shen, Lewis, Method of making a memory cell with polished insulator layer.
  339. Kwok Siang P. (Colorado Springs CO), Method of making a self-aligned MESFET using a substitutional gate with side walls.
  340. Kenjiro Higaki,JPX ; Saburo Tanaka,JPX ; Hideo Itozaki,JPX ; Shuji Yazu,JPX, Method of making a superconducting microwave component by off-axis sputtering.
  341. Marsh, Eugene P., Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide.
  342. Li,Chou H., Method of making atomic integrated circuit device.
  343. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  344. Visokay, Mark R.; Rotondaro, Antonio L. P.; Colombo, Luigi, Method of making multiple work function gates by implanting metals with metallic alloying additives.
  345. Bergendahl Albert S. (Underhill VT) Bertin Claude L. (South Burlington VT) Cronin John E. (Milton VT) Kalter Howard L. (Colchester VT) Kenney Donald M. (Shelburne VT) Lam Chung H. (Williston VT) Lee , Method of making shadow RAM cell having a shallow trench EEPROM.
  346. Komori Kazuhiro (Higashikurume JPX) Meguro Satoshi (Hinode JPX) Nishimoto Toshiaki (Tama JPX) Kume Hitoshi (Musashino JPX) Yamamoto Hideaki (Tokorozawa JPX), Method of making tunnel EEPROM.
  347. In-sang Jeon KR; Sang-bom Kang KR; Hyun-seok Lim KR; Gil-heyun Choi KR, Method of manufacturing a barrier metal layer using atomic layer deposition.
  348. Marsh, Eugene P.; Kraus, Brenda D., Method of manufacturing a capacitor having RuSixOy-containing adhesion layers.
  349. Ahn, Kie Y.; Forbes, Leonard, Method of manufacturing a single electron resistor memory device.
  350. Cha, Tae Ho; Jang, Se Aug; Kim, Tae Kyun; Park, Dea Gyu; Yeo, In Seok; Park, Jin Won, Method of manufacturing a transistor in a semiconductor device.
  351. Sung Hung-Cheng (Paoshan Hsin-Chu TWX) Chen Ling (Sunnyvale CA), Method of manufacturing self-aligned bit-line during EPROM fabrication.
  352. Arima Hideaki (Hyogo JPX), Method of manufacturing semiconductor memory device.
  353. Elers, Kai-Erik, Method of modifying source chemicals in an ald process.
  354. Wang, Janet S. Y.; Derhacobian, Narbeh, Method of programming a non-volatile memory cell using a baking process.
  355. Derhacobian Narbeh ; Wang Janet S. Y. ; Sobek Daniel ; Haddad Sameer S., Method of programming a non-volatile memory cell using a current limiter.
  356. Janet S. Y. Wang, Method of programming a non-volatile memory cell using a drain bias.
  357. Daniel Sobek ; Timothy J. Thurgate ; Janet Wang ; Narbeh Derhacobian, Method of programming a non-volatile memory cell using a substrate bias.
  358. Richard M. Fastow, Method of programming a non-volatile memory cell using a substrate bias.
  359. Timothy J. Thurgate ; Carl R. Huster, Method of programming a non-volatile memory cell using a vertical electric field.
  360. Zhiping Yin, Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby.
  361. Brown, David E.; Fisher, Philip A.; Huang, Richard J.; Nguyen, Richard C.; Tabery, Cyrus E., Method of using amorphous carbon as spacer material in a disposable spacer process.
  362. Bell, Scott A.; Dakshina-Murthy, Srikanteswara; Fisher, Philip A.; Tabery, Cyrus E., Method of using amorphous carbon film as a sacrificial layer in replacement gate integration processes.
  363. Kaya Cetin (Dallas TX) Holland Wayland B. (Garland TX) Mezenner Rabah (Richardson TX), Method of using source bias to increase threshold voltages and/or to correct for over-erasure of flash eproms.
  364. Ahn, Kie Y.; Forbes, Leonard, Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals.
  365. Forbes Leonard ; Farrar Paul A. ; Ahn Kie Y., Methods and structures for gold interconnections in integrated circuits.
  366. Forbes Leonard ; Farrar Paul A. ; Ahn Kie Y., Methods and structures for gold interconnections in integrated circuits.
  367. Ahn Kie Y. ; Forbes Leonard ; Farrar Paul A., Methods and structures for metal interconnections in integrated circuits.
  368. Ahn, Kie Y.; Forbes, Leonard; Farrar, Paul A., Methods and structures for metal interconnections in integrated circuits.
  369. Ahn, Kie Y.; Forbes, Leonard; Farrar, Paul A., Methods and structures for metal interconnections in integrated circuits.
  370. Forbes Leonard ; Farrar Paul A. ; Ahn Kie Y., Methods and structures for silver interconnections in integrated circuits.
  371. Forbes, Leonard; Farrar, Paul A.; Ahn, Kie Y., Methods and structures for silver interconnections in integrated circuits.
  372. Aggarwal Sanjeev ; Perusse Scott Robert ; Ramesh Ramamoorthy, Methods and structures to cure the effects of hydrogen annealing on ferroelectric capacitors.
  373. Ahn,Kie Y.; Forbes,Leonard, Methods for atomic-layer deposition of aluminum oxides in integrated circuits.
  374. Agarwal, Vishnu K.; Derderian, Garo; Sandhu, Gurtej S.; Li, Weimin M.; Visokay, Mark; Basceri, Cem; Yang, Sam, Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers.
  375. Basceri, Cem; Sandhu, Gurtej, Methods for forming conductive structures and structures regarding same.
  376. Ahn, Kie Y.; Forbes, Leonard, Methods for forming dielectric materials and methods for forming semiconductor devices.
  377. Uhlenbrock Stefan ; Marsh Eugene P., Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers.
  378. Haukka, Suvi P.; Tuominen, Marko, Methods for making a dielectric stack in an integrated circuit.
  379. Visokay, Mark; Chambers, James Joseph; Colombo, Luigi; Rotondaro, Antonio Luis Pacheco, Methods for sputter deposition of high-k dielectric films.
  380. Chambers, James Joseph, Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness.
  381. Vaartstra,Brian A., Methods of forming a phosphorous doped silicon dioxide comprising layer.
  382. Eldridge, Jerome M., Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure.
  383. Leem Hyeun-Seog,KRX, Methods of forming smooth conductive layers for integrated circuit devices.
  384. Seung-hwan Lee KR; Yeong-kwan Kim KR; Dong-chan Kim KR; Young-wook Park KR, Methods of forming thin films by atomic layer deposition.
  385. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  386. Cha Sung W. (Cambridge MA) Suh Nam P. (Sudbury MA) Baldwin Daniel F. (Medford MA) Park Chul B. (Cambridge MA), Microcellular thermoplastic foamed with supercritical fluid.
  387. Brian A. Vaartstra ; Donald L. Westmoreland, Mixed metal nitride and boride barrier layers.
  388. Adetutu,Olubunmi O.; Luo,Tien Ying; Tseng,Hsing H., Multi-layer dielectric containing diffusion barrier material.
  389. Harari Eliyahou (104 Auzerais Ct. Los Gatos CA 95030), Multi-state flash EEPROM system using incremental programing and erasing methods.
  390. Forbes Leonard, Multi-state flash memory cell and method for programming single electron differences.
  391. Forbes Leonard, Multi-state flash memory cell and method for programming single electron differences.
  392. Cleeves, James M.; Subramanian, Vivek, Multigate semiconductor device with vertical channel current and method of fabrication.
  393. Yanjun Ma ; Yoshi Ono, Multilayer dielectric stack and method.
  394. Senzaki, Yoshihide, Multilayer high κ dielectric films.
  395. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film.
  396. Forbes, Leonard, Multilevel semiconductor-on-insulator structures and circuits.
  397. Forbes,Leonard, NOR flash memory cell with high storage density.
  398. Boaz Eitan IL, NROM cell with generally decoupled primary and secondary injection.
  399. Chen Wei ; Smith ; III Theoren Perlee ; Tiwari Sandip, Nano-structure memory device.
  400. Forbes, Leonard, Nanocrystal write once read only memory for archival storage.
  401. Arvind Halliyal ; Robert Bertram Ogle, Jr. ; Joong S. Jeon ; Fred Cheung ; Effiong Ibok, Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material.
  402. Eitan, Boaz, Non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.
  403. Eitan, Boaz, Non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.
  404. Wong Sau C., Non-volatile memories with improved endurance and extended lifetime.
  405. Mobley Kenneth J. (Colorado Springs CO), Non-volatile memory cell and sensing method.
  406. Choi Jeong-Hyuk,KRX, Non-volatile memory device and method for operating and fabricating the same.
  407. DeKeersmaecker Roger F. (Cronton-on-Hudson NY) DiMaria Donelli J. (Mt. Kisco NY) Young Donald R. (Ossining NY), Non-volatile memory devices fabricated from graded or stepped energy band gap insulator MIM or MIS structure.
  408. Morie Takashi (Kanagawa JPX), Non-volatile memory with hot carriers transmitted to floating gate through control gate.
  409. Ludwig, Christoph; Schrems, Martin, Non-volatile semiconductor memory cell having a metal oxide dielectric, and method for fabricating the memory cell.
  410. Eitan Boaz,ILX, Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping.
  411. Torii, Satoshi; Kojima, Hideyuki; Mawatari, Hiroshi, Non-volatile semiconductor memory device having a charge storing insulation film and data holding method therefor.
  412. Kohda Kenji (Hyogo JPX) Toyama Tsuyoshi (Hyogo JPX) Ando Nobuaki (Hyogo JPX) Noguchi Kenji (Hyogo JPX) Kobayashi Shinichi (Hyogo JPX), Non-volatile semiconductor memory device with facility of storing tri-level data.
  413. Makino Takami (Kawasaki JPX), Non-volatile semiconductor memory device with function of bringing memory cell transistors to overerased state, and meth.
  414. Saitoh Kenji,JPX, Non-volatile semiconductor storage apparatus and production thereof.
  415. Nishimura Kiyoshi (Kyoto JPX) Hayashi Hideki (Kyoto JPX) Muramoto Jun (Kyoto JPX) Fuchikami Takaaki (Kyoto JPX) Uenoyama Hiromi (Kyoto JPX), Nonvolatile ferroelectric-semiconductor memory.
  416. Fujimori, Yoshikazu; Nakamura, Takashi, Nonvolatile memory.
  417. Iguchi Katsuji (Yamatokoriyama JPX), Nonvolatile memory cell and method of producing the same.
  418. Hayashi Yutaka (Kanagawa JPX) Yamagishi Machio (Kanagawa JPX), Nonvolatile semiconductor memory.
  419. Kokubo Masaya (Kasugai JPX), Nonvolatile semiconductor memory device for preventing erroneous operation caused by over-erase phenomenon.
  420. Nakao Hironobu (Kyoto JPX) Nakamura Takashi (Kyoto JPX), Nonvolatile semiconductor memory utilizing a ferroelectric film.
  421. Forbes,Leonard, Nor flash memory cell with high storage density.
  422. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  423. Thurgate Timothy ; Sobek Daniel, Operational approach for the suppression of bi-directional tunnel oxide stress of a flash cell.
  424. Takeoka Yoshikatsu (Kawasaki JPX) Yasuda Nobuaki (Zushi JPX), Optical protuberant bubble recording medium.
  425. Judge,John S.; Shao,Jiqun; Goller,Warren W., Optical recording article.
  426. Saito Takao,JPX ; Shingai Hiroshi,JPX ; Kato Tatsuya,JPX ; Utsunomiya Hajime,JPX ; Yanagiuchi Katsuaki,JPX, Optical recording material and its fabrication method.
  427. Handa Tokuhiko (Nagano JPX) Inaba Ryo (Nagano JPX) Haratani Susumu (Nagano JPX) Tominaga Junji (Nagano JPX), Optical recording media.
  428. Kato Tatsuya,JPX ; Utsunomiya Hajime,JPX ; Komaki Tsuyoshi,JPX ; Hirata Hideki,JPX, Optical recording medium.
  429. Takasaki,Hiroshi; Tsutsumi,Tsutomu; Shibahara,Masanori; Ishizaki,Hideki, Optical recording medium.
  430. Kosuda Masanori,JPX ; Utsunomiya Hajime,JPX ; Shingai Hiroshi,JPX ; Tsukagoshi Takuya,JPX, Optical recording medium and fabrication method therefor.
  431. Haratani Susumu (Nagano JPX) Tominaga Junji (Nagano JPX), Optical recording medium and its production.
  432. Takahashi Makoto,JPX ; Kikukawa Takashi,JPX ; Kuribayashi Isamu,JPX, Optical recording medium and method for preparing the same.
  433. Tsukagoshi Takuya,JPX ; Kosuda Masanori,JPX ; Shingai Hiroshi,JPX, Optical recording medium and method for preparing the same.
  434. Takahashi Makoto,JPX ; Kikukawa Takashi,JPX ; Kuribayashi Isamu,JPX ; Tominaga Junji,JPX, Optical recording medium, and its fabrication method.
  435. Yano Yoshihiko,JPX ; Noguchi Takao,JPX, Oxide thin film, electronic device substrate and electronic device.
  436. Nakai,Tsukasa; Ashida,Sumio; Yusu,Keiichiro; Tsukamoto,Takayuki; Oomachi,Noritake; Nakamura,Naomasa; Ichihara, Deceased,Katsutaro; Ichihara, Legal Representative,Urara, Phase-change optical recording medium.
  437. Tabery, Cyrus E.; Bell, Scott A.; Dakshina-Murthy, Srikanteswara, Planar finFET patterning using amorphous carbon.
  438. Ramsbey, Mark T.; Yang, Jean Y.; Shiraiwa, Hidehiko; Van Buskirk, Michael A.; Rogers, David M.; Sunkavalli, Ravi S.; Wang, Janet S.; Derhacobian, Narbeh, Planar structure for non-volatile memory devices.
  439. Drage David J. (Sebastopol CA), Plasma reactor having slotted manifold.
  440. Kieser Jrg (Albstadt DEX) Sellschopp Michael (Hammersbach DEX) Geisler Michael (Wchtersbach DEX), Plasma treatment apparatus.
  441. Farrar, Paul A., Polynorbornene foam insulation for integrated circuits.
  442. Ahn Kie Y. ; Forbes Leonard, Porous silicon oxycarbide integrated circuit insulator.
  443. Ahn, Kie Y.; Forbes, Leonard, Porous silicon oxycarbide integrated circuit insulator.
  444. Kie Y. Ahn ; Leonard Forbes, Porous silicon oxycarbide integrated circuit insulator.
  445. Cheung, Fred TK; Halliyal, Arvind, Precision high-K intergate dielectric layer.
  446. Vaartstra Brian A., Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide.
  447. Vaartstra Brian A., Precursor mixtures for use in preparing layers on substrates.
  448. Buchanan,Douglas A.; Neumayer,Deborah Ann, Precursor source mixtures.
  449. Jeon, Joong, Preparation of composite high-K / standard-K dielectrics for semiconductor devices.
  450. Jeon, Joong, Preparation of composite high-K dielectrics.
  451. Halliyal, Arvind; Jeon, Joong S.; Ngo, Minh Van; Ogle, Robert B., Preparation of composite high-K/standard-K dielectrics for semiconductor devices.
  452. Hazani, Emanuel, Preventing dielectric thickening over a floating gate area of a transistor.
  453. Song, Kevin; Ravi, Jallepally; Li, Shih-Hung; Chen, Liang-Yuh, Process conditions and precursors for atomic layer deposition (ALD) of AL2O3.
  454. Rama I. Hegde ; Philip J. Tobin ; Amit Nangia, Process for forming a structure.
  455. Senzaki, Yoshihide; Hochberg, Arthur Kenneth; Norman, John Anthony Thomas, Process for metal metalloid oxides and nitrides with compositional gradients.
  456. Yoshihiko Yano JP; Takao Noguchi JP, Process for preparing ferroelectric thin films.
  457. Vaartstra Brian A. ; Lai Wing-Cheong Gilbert, Process for titanium nitride deposition using five- and six-coordinate titanium complexes.
  458. Doering Kenneth ; Galewski Carl J. ; Gadgil Prasad N. ; Seidel Thomas E., Processing chamber for atomic layer deposition processes.
  459. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  460. Noble, Wendell P.; Forbes, Leonard, Programmable logic array with vertical transistors.
  461. Wendell P. Noble ; Leonard Forbes, Programmable logic array with vertical transistors.
  462. Forbes Leonard ; Noble Wendell P., Programmable memory address decode array with vertical transistors.
  463. Forbes, Leonard; Noble, Wendell P., Programmable memory address decode array with vertical transistors.
  464. Ilan Bloom IL; Eduardo Maayan IL; Boaz Eitan IL, Programming and erasing methods for a reference cell of an NROM array.
  465. Lee Roger R. (Boise ID) Gonzalez Fernando (Boise ID), Programming method for the selective healing of over-erased cells on a flash erasable programmable read-only memory devi.
  466. Wang, Zhigang; Yang, Nian; Guo, Xin, Programming with floating source for low power, low leakage and high density flash memory devices.
  467. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  468. Cogan Stuart F. (Sudbury MA), Protective overlayer material and electro-optical coating using same.
  469. Sneh Ofer, Radical-assisted sequential CVD.
  470. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  471. Allen Judith E. ; Kraus William F. ; Lehman Lark E. ; Wilson Dennis R., Reference cell configuration for a 1T/1C ferroelectric memory.
  472. Marsh, Eugene P.; Kraus, Brenda D., RuSixOy-containing adhesion layers and process for fabricating the same.
  473. Vaartstra Brian A. ; Marsh Eugene P., Ruthenium silicide diffusion barrier layers and methods of forming same.
  474. Krivokapic, Zoran; Xiang, Qi; Yu, Bin, SOI device with metal source/drain and method of fabrication.
  475. Howell, W. Max, SSICM guidance and control concept.
  476. Bhattacharyya,Arup, Scalable integrated logic and non-volatile memory.
  477. Christopher Hobbs ; Rama I. Hegde ; Philip J. Tobin, Selective removal of a metal oxide dielectric.
  478. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  479. Forbes,Leonard; Ahn,Kie Y., Self aligned metal gates on high-k dielectrics.
  480. Chen Chin-Ming,TWX, Self-aligned process for forming source line of ETOX flash memory.
  481. Colombo,Luigi; Chambers,James Joseph; Visokay,Mark Robert, Semiconductor CMOS devices and methods with NMOS high-k dielectric formed prior to core PMOS dielectric formation.
  482. Chambers,James Joseph; Visokay,Mark Robert; Colombo,Luigi, Semiconductor CMOS devices and methods with NMOS high-k dielectric present in core region that mitigate damage to dielectric materials.
  483. Fazan, Pierre; Okhonin, Serguei, Semiconductor device.
  484. Aoyama, Tomonori, Semiconductor device and manufacturing method therefor.
  485. Sekiguchi, Mitsuru, Semiconductor device and method for fabricating the same.
  486. Li,Hong Jyh, Semiconductor device and method of manufacture thereof.
  487. Ohmi,Tadahiro; Sugawa,Shigetoshi; Sekine,Katsuyuki; Saito,Yuji, Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof.
  488. Gardner Mark I. ; Fulford H. Jim ; May Charles E. ; Hause Fred ; Kwong Dim-Lee, Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof.
  489. Shunpei Yamazaki JP; Yasuyuki Arai JP, Semiconductor device having single crystal grains with hydrogen and tapered gate insulation layer.
  490. Takahashi, Hiroshi; Handa, Osamu; Takegama, Akihiro; Toyonoh, Yutaka; Awaka, Kaoru; Ikeno, Rimon; Tanaka, Tsuyoshi, Semiconductor integrated circuit.
  491. Li, Chou H, Semiconductor integrated circuit device.
  492. Aoyama Masaharu (Yokohama JPX) Hiraki Shunichi (Yokohama JPX) Yonezawa Toshio (Yokosuka JPX), Semiconductor memory device.
  493. Katayama, Kozo; Hisamoto, Dai, Semiconductor memory device.
  494. Yamazaki Shunpei (Tokyo JPX) Takemura Yasuhiko (Kanagawa JPX), Semiconductor memory device.
  495. Eichman Eric C. (Phoenix AZ) Salt Thomas C. (Chandler AZ), Semiconductor memory device and write-once, read-only semiconductor memory array using amorphous-silicon and method ther.
  496. Kuroda Kenichi (Tachikawa JPX), Semiconductor memory device having ferroelectric capacitor memory cells with reading, writing and forced refreshing func.
  497. Nawaki Masaru (Nara JPX) Ueno Shounosuke (Osaka JPX), Semiconductor memory device having floating gate transistors and data holding means.
  498. Ueda Tohru,JPX ; Nakamura Kenta,JPX ; Fukushima Yasumori,JPX, Semiconductor storage device capable of improving controllability of density and size of floating gate.
  499. Casper Stephen L. (Boise ID) Parkinson Ward D. (Boise ID), Sense amplifier pulldown device with tailored edge input.
  500. Arthur Sherman, Sequential chemical vapor deposition.
  501. Sherman Arthur, Sequential chemical vapor deposition.
  502. Li, Weimin, Sequential pulse deposition.
  503. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  504. Forbes,Leonard; Ahn,Kie Y.; Bhattacharyya,Arup, Silicon lanthanide oxynitride films.
  505. Ahn Kie Y. ; Forbes Leonard, Silicon multi-chip module packaging with integrated passive components and method of making.
  506. Nobuo Matsuki JP; Yuichi Naito JP; Yoshinori Morisada JP; Aya Matsunoshita JP, Silicone polymer insulation film on semiconductor substrate and method for forming the film.
  507. Mark T. Ramsbey ; Jean Y. Yang ; Hidehiko Shiraiwa ; Michael A. Van Buskirk ; David M. Rogers ; Ravi Sunkavalli ; Janet Wang ; Narbeh Derhacobian ; Yider Wu, Simultaneous formation of charge storage and bitline to wordline isolation.
  508. Fengyan Zhang ; Yanjun Ma ; Jer-Shen Maa ; Wei-Wei Zhuang ; Sheng Teng Hsu, Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same.
  509. Ahn Kie ; Forbes Leonard, Single electron MOSFET memory device and method.
  510. Ahn Kie Y. ; Forbes Leonard, Single electron resistor memory device and method for use thereof.
  511. Peng Kuo-Reay,JPX ; Lee Jian-Hsing,JPX ; Yeh Juang-Ke,JPX ; Ho Ming-Chon,JPX, Snapback reduces the electron and hole trapping in the tunneling oxide of flash EEPROM.
  512. Li, Chou H., Solid state device.
  513. Bhattacharyya, Arup, Stable PD-SOI devices and methods.
  514. Kim Dae Mann,KRX ; Cho Myoung-kwan,KRX, Stacked-gate flash EEPROM memory devices having mid-channel injection characteristics for high speed programming.
  515. Xiang,Qi; Goo,Jung Suk; Pan,James N., Strained silicon semiconductor on insulator MOSFET.
  516. Smarandoiu George (San Jose CA) Schumann Steven J. (Sunnyvale CA) Wu Tsung-Ching (Saratoga CA), Stress reduction for non-volatile memory cell.
  517. Ahn Kie Y. ; Forbes Leonard ; Cloud Eugene H., Structure and method for a high performance electronic packaging assembly.
  518. Ahn, Kie Y.; Forbes, Leonard; Cloud, Eugene H., Structure and method for a high-performance electronic packaging assembly.
  519. Ahn Kie Y. ; Forbes Leonard, Structure and method for dual gate oxide thicknesses.
  520. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  521. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  522. Ahn, Kie Y.; Forbes, Leonard, Structure and method for dual gate oxide thicknesses.
  523. Ahn, Kie Y.; Forbes, Leonard, Structures, methods, and systems for ferroelectric memory transistors.
  524. Li-Qun Xia ; Ellie Yieh, Sub-atmospheric chemical vapor deposition system with dopant bypass.
  525. Sunkavalli Ravi S., Substrate hole injection for neutralizing spillover charge generated during programming of a non-volatile memory cell.
  526. Xi Xiaoxing (Greenbelt MD) Doughty Chris (Washington DC) Venkatesan Thirumalai (Washington DC), Superconducting field effect devices with thin channel layer.
  527. Baldwin Daniel F. (Medford MA) Suh Nam P. (Sudbury MA) Park Chul B. (Cambridge MA) Cha Sung W. (Cambridge MA), Supermicrocellular foamed materials.
  528. Pomarede, Christophe F.; Roberts, Jeff; Shero, Eric J., Surface preparation prior to deposition.
  529. Vaartstra,Brian A.; Quick,Timothy A., Systems and method for forming silicon oxide layers.
  530. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxide layers.
  531. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  532. Vaartstra,Brian A., Systems and methods for forming metal oxides using alcohols.
  533. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands.
  534. Vaartstra,Brian A., Systems and methods for forming metal oxides using metal diketonates and/or ketoimines.
  535. Vaartstra, Brian A.; Westmoreland, Donald L., Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides.
  536. Vaartstra,Brian A., Systems and methods for forming metal-doped alumina.
  537. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using disilazanes.
  538. Vaartstra, Brian A., Systems and methods for forming refractory metal nitride layers using organic amines.
  539. Vaartstra, Brian A.; Uhlenbrock, Stefan, Systems and methods for forming strontium- and/or barium-containing layers.
  540. Vaartstra,Brian A.; Uhlenbrock,Stefan, Systems and methods for forming strontium-and/or barium-containing layers.
  541. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  542. Vaartstra,Brian A.; Quick,Timothy A., Systems and methods for forming tantalum oxide layers and tantalum precursor compounds.
  543. Vaartstra,Brian A., Systems and methods for forming tantalum silicide layers.
  544. Vaartstra,Brian A., Systems and methods for forming zirconium and/or hafnium-containing layers.
  545. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  546. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using disilazanes.
  547. Vaartstra,Brian A., Systems and methods of forming refractory metal nitride layers using organic amines.
  548. Forbes, Leonard; Ahn, Kie Y.; Bhattacharyya, Arup, Tantalum lanthanide oxynitride films.
  549. Noshita Taihei,JPX, Thermal head.
  550. Yoneda Junichi,JPX, Thermal head.
  551. Yoneda Junichi,JPX ; Kashiwaya Makoto,JPX ; Noshita Taihei,JPX, Thermal head.
  552. Akira Yamaguchi JP, Thermal head adjusting method.
  553. Noshita Taihei,JPX ; Yoneda Junichi,JPX ; Kashiwaya Makoto,JPX, Thermal head and method of manufacturing the same.
  554. Noshita Taihei,JPX ; Yoneda Junichi,JPX ; Kashiwaya Makoto,JPX, Thermal head method of manufacturing the same.
  555. Akira Yamaguchi JP, Thermal recording apparatus.
  556. Koh,Won yong; Lee,Chun soo, Thin film forming method.
  557. Shah Pradeep L. (Dallas TX), Three dimensional FAMOS memory devices.
  558. Tue Nguyen, Three-dimensional showerhead apparatus.
  559. Quevedo Lopez,Manuel A.; Chambers,James J.; Colombo,Luigi; Visokay,Mark R., Top surface roughness reduction of high-k dielectric materials using plasma based processes.
  560. Eppich,Denise M.; Weimer,Ronald A., Transistor devices, and methods of forming transistor devices and circuit devices.
  561. Trivedi, Jigish D., Transistor gate and local interconnect.
  562. John M. Grant ; Olubunmi O. Adetutu ; Yolanda S. Musgrove, Transistor metal gate structure that minimizes non-planarity effects and method of formation.
  563. Forbes Leonard ; Geusic Joseph E. ; Ahn Kie Y., Transistor with silicon oxycarbide gate and methods of fabrication and use.
  564. Rodder Mark S., Transistors with substitutionally formed gate structures and method.
  565. Eitan Boaz,ILX, Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping.
  566. Noble Wendell P. ; Forbes Leonard, Ultra high density flash memory.
  567. Shimabukuro, Randy L.; Russell, Stephen D.; Offord, Bruce W., Ultra-high resolution liquid crystal display on silicon-on-sapphire.
  568. Wang, Zhigang; Guo, Xin; He, Yue-Song, Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling.
  569. Halliyal, Arvind; Ramsbey, Mark T.; Zhang, Wei; Randolph, Mark W.; Cheung, Fred T. K., Use of high-K dielectric material in modified ONO structure for semiconductor devices.
  570. Halliyal, Arvind; Ramsbey, Mark T.; Chang, Kuo-Tung; Tripsas, Nicholas H.; Ogle, Robert B., Use of high-k dielectric materials in modified ONO structure for semiconductor devices.
  571. Ohashi Tadashi,JPX ; Chaki Katuhiro,JPX ; Xin Ping,JPX ; Fujii Tatsuo,JPX ; Iwata Katsuyuki,JPX ; Mitani Shinichi,JPX ; Honda Takaaki,JPX, Vapor deposition apparatus and method for forming thin film.
  572. Chiang, Tony P.; Leeser, Karl F.; Brown, Jeffrey A.; Babcoke, Jason E., Variable gas conductance control for a process chamber.
  573. Forbes Leonard, Vertical bipolar read access for low voltage memory cell.
  574. Johnson Mark G. ; Lee Thomas H. ; Subramanian Vivek ; Farmwald P. Michael ; Cleeves James M., Vertically stacked field programmable nonvolatile memory and method of fabrication.
  575. Johnson Mark G. ; Lee Thomas H. ; Subramanian Vivek ; Farmwald Paul Michael ; Cleeves James M., Vertically stacked field programmable nonvolatile memory and method of fabrication.
  576. Wilson Dennis R. (Black Forest CO) Meadows H. Brett (Colorado Springs CO), Voltage reference for a ferroelectric 1T/1C based memory.
  577. Leonard Forbes ; Kie Y. Ahn, Weak ferroelectric transistor.
  578. Forbes, Leonard, Write once read only memory employing charge trapping in insulators.
  579. Forbes,Leonard, Write once read only memory employing charge trapping in insulators.
  580. Forbes,Leonard, Write once read only memory employing charge trapping in insulators.
  581. Forbes,Leonard, Write once read only memory employing floating gates.
  582. Forbes,Leonard, Write once read only memory employing floating gates.
  583. Forbes,Leonard, Write once read only memory with large work function floating gates.
  584. Forbes,Leonard, Write once read only memory with large work function floating gates.
  585. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  586. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  587. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium silicon-oxynitride gate dielectric.

이 특허를 인용한 특허 (6)

  1. Sato, Tatsuya E.; Mahajani, Maitreyee, Methods for manufacturing high dielectric constant films.
  2. Ichihara, Reika; Tsuchiya, Yoshinori; Koyama, Masato; Nishiyama, Akira, Semiconductor device.
  3. Ichihara, Reika; Tsuchiya, Yoshinori; Koyama, Masato; Nishiyama, Akira, Semiconductor device.
  4. Ichihara, Reika; Tsuchiya, Yoshinori; Koyama, Masato; Nishiyama, Akira, Semiconductor device.
  5. Ichihara, Reika; Tsuchiya, Yoshinori; Koyama, Masato; Nishiyama, Akira, Semiconductor device.
  6. Ichihara, Reika; Tsuchiya, Yoshinori; Koyama, Masato; Nishiyama, Akira, Semiconductor device.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로