$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Tri-gate transistor device with stress incorporation layer and method of fabrication 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/06
출원번호 UP-0493789 (2006-07-25)
등록번호 US-7714397 (2010-06-03)
발명자 / 주소
  • Hareland, Scott A.
  • Chau, Robert S.
  • Doyle, Brian S.
  • Datta, Suman
  • Jin, Been-Yih
출원인 / 주소
  • Intel Corporation
대리인 / 주소
    Blakely, Sokoloff, Taylor & Zafman LLP
인용정보 피인용 횟수 : 13  인용 특허 : 174

초록

A semiconductor device comprising a semiconductor body having a top surface and laterally opposite sidewalls is formed on an insulating substrate. A gate dielectric layer is formed on the top surface of the semiconductor body and on the laterally opposite sidewalls of the semiconductor body. A gate

대표청구항

We claim: 1. A semiconductor device comprising: a semiconductor body having a top surface and laterally opposite sidewalls formed on a substrate; a gate dielectric formed on said laterally opposite sidewalls of said semiconductor body; a gate electrode formed over said top surface of said semicondu

이 특허에 인용된 특허 (174)

  1. Forbes Leonard ; Noble Wendell P., Another technique for gated lateral bipolar transistors.
  2. Ahn, Kie Y.; Forbes, Leonard, Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics.
  3. Takagi Shinichi (Tokyo JPX) Mizuno Tomohisa (Yokohama JPX), BiCMOS device with low bandgap CMOS contact regions and low bandgap bipolar base region.
  4. James Allan Bruce ; Jonathan Daniel Chapple-Sokol ; Charles W. Koburger, III ; Michael James Lercel ; Randy William Mann ; James Spiros Nakos ; John Joseph Pekarik ; Kirk David Peterson ; Jed, Borderless contact to diffusion with respect to gate conductor and methods for fabricating.
  5. Lindert,Nick; Cea,Stephen M., Bulk non-planar transistor having strained enhanced mobility and methods of fabrication.
  6. Wen Jemmy,TWX ; Ko Joe,TWX, Buried structure SRAM cell and methods for fabrication.
  7. Noble Wendell P. ; Forbes Leonard, Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor.
  8. Abadeer,Wagdi W.; Brown,Jeffrey S.; Fried,David M.; Gauthier, Jr.,Robert J.; Nowak,Edward J.; Rankin,Jed H.; Tonti,William R., Concurrent Fin-FET and thick-body device fabrication.
  9. Hanafi, Hussein I.; Brown, Jeffrey J.; Natzle, Wesley C., Damascene double-gate MOSFET with vertical channel regions.
  10. Bulucea Constantin ; Kerr Daniel C., Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect tra.
  11. Lee Sangin (Suwon KRX), Dielectric medium for capacitor of semiconductor device.
  12. Ahmed, Shibly S.; Wang, Haihong; Yu, Bin, Double gate semiconductor device having separate gates.
  13. James W. Adkisson ; Paul D. Agnello ; Arne W. Ballantine ; Rama Divakaruni ; Erin C. Jones ; Jed H. Rankin, Double gate trench transistor.
  14. Gerhard Enders ; Thomas Schulz DE; Dietrich Widmann DE; Lothar Risch DE, Double gated transistor.
  15. Huang Tiao-Yuan (Cupertino CA), Double implanted LDD transistor self-aligned with gate.
  16. James W. Adkisson ; John A. Bracchitta ; John J. Ellis-Monaghan ; Jerome B. Lasky ; Effendi Leobandung ; Kirk D. Peterson ; Jed H. Rankin, Double planar gated SOI MOSFET structure.
  17. Buynoski, Matthew S.; An, Judy Xilin; Wang, Haihong; Yu, Bin, Double spacer FinFET formation.
  18. Yaung Dun-Nian,TWX ; Wuu Shou-Gwo,TWX ; Chao Li-Chih,TWX ; Huang Kuo Ching,TWX, Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits.
  19. Lee, Jong-Ho, Double-gate FinFET device and fabricating method thereof.
  20. Sekigawa, Toshihiro; Ishii, Kenichi; Suzuki, Eiichi, Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same.
  21. Sekigawa,Toshihiro; Ishii,Kenichi; Suzuki,Eiichi, Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same.
  22. Yu, Bin, Double-gate vertical MOSFET transistor and fabrication method.
  23. Ito, Shinya, Enhanced deposition control in fabricating devices in a semiconductor wafer.
  24. Dakshina-Murthy, Srikanteswara; Yang, Chih-Yuh; Yu, Bin, Epitaxially grown fin for FinFET.
  25. Joshi, Rajiv V; Williams, Richard Q, FET channel having a strained lattice structure along multiple surfaces.
  26. Yu, Bin, Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology.
  27. Bin Yu, Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology.
  28. Luyken, Richard Johannes; Schlösser, Till; Haneder, Thomas Peter; Hönlein, Wolfgang; Kreupl, Franz, Field effect transistor.
  29. Chau, Robert S.; Barlage, Doulgas; Jin, Been-Yih, Field effect transistor and method of fabrication.
  30. Mukai Mikio,JPX, Field effect transistor having multiple gate electrodes surrounding the channel region.
  31. Fried, David M.; Nowak, Edward J.; Rainey, Beth A; Sadana, Devendra K., Fin FET devices from bulk semiconductor and method for forming.
  32. Gambino, Jeffrey P.; Lasky, Jerome B.; Rankin, Jed H., Fin field effect transistor with self-aligned gate.
  33. Anderson, Brent A.; Bryant, Andres; Nowak, Edward J., FinFET SRAM cell with chevron FinFET logic.
  34. Lin, Ming-Ren; Goo, Jung-Suk; Wang, Haihong; Xiang, Qi, FinFET device incorporating strained silicon in the channel region.
  35. Fried, David M.; Mann, Randy W.; Muller, K. Paul; Nowak, Edward J., Finfet SRAM cell using low mobility plane for cell stability and method for forming.
  36. Chenming Hu ; Tsu-Jae King ; Vivek Subramanian ; Leland Chang ; Xuejue Huang ; Yang-Kyu Choi ; Jakub Tadeusz Kedzierski ; Nick Lindert ; Jeffrey Bokor ; Wen-Chin Lee, Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture.
  37. Beltram Fabio (Jersey City NJ) Capasso Federico (Westfield NJ) Malik Roger J. (Summit NJ) Shah Nitin J. (Scotch Plains NJ), Floating gate memories.
  38. Visokay,Mark R.; Rotondaro,Antonio L. P.; Colombo,Luigi, Gate structure and method.
  39. Park, Dae-Gyu; Cho, Heung-Jae, Gate structure with high K dielectric.
  40. Henry,Michael L.; Henry,Lee L., Hands-free trash barrel lid opener.
  41. Nowak, Edward J.; Rainey, BethAnn, High mobility crystalline planes in double-gate CMOS technology.
  42. Grupp,Daniel E.; Connelly,Daniel J., Insulated gate field effect transistor having passivated Schottky barriers to the channel.
  43. Yoshimi Makoto (Tokyo JPX) Inaba Satoshi (Tokyo JPX) Murakoshi Atsushi (Tokyo JPX) Terauchi Mamoru (Tokyo JPX) Shigyo Naoyuki (Tokyo JPX) Matsushita Yoshiaki (Tokyo JPX) Aoki Masami (Tokyo JPX) Hamam, Insulated-gate transistor having narrow-bandgap-source.
  44. Seabaugh Alan C. (Richardson TX), Integration of lateral and vertical quantum well transistors in the same epitaxial stack.
  45. Chao Fung-Ching (Tainan Shih TWX), Inverse-T LDDFET with self-aligned silicide.
  46. Ando, Yoshiyuki, Ion implantation methods and transistor cell layout for fin type transistors.
  47. Yu Chen-Hua,TWX, Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluor.
  48. Madan Sudhir K. (Dallas TX), Local interconnect for stacked polysilicon device.
  49. Mandelman, Jack A.; Gan, Dong; Lam, Chung H., Local interconnect junction on insulator (JOI) structure.
  50. Deleonibus, Simon; Guegan, Georges; Caillat, Christian; Coudert, Fabien, MIS transistor and method for making same on a semiconductor substrate.
  51. Shirasaki Masahiro (Kawasaki JPX), MIS transistor structure for increasing conductance between source and drain regions.
  52. Tada Yoshihide (Chiba JPX) Kunitomo Hiroyasu (Chiba JPX), Manufacturing method for semiconductor devices with source/drain formed in substrate projection..
  53. Ohuchi,Masahiko, Manufacturing method of semiconductor device.
  54. Arai,Nobutoshi; Iwata,Hiroshi; Kakimoto,Seizo, Memory function body, particle forming method therefor and, memory device, semiconductor device, and electronic equipment having the memory function body.
  55. Deleonibus, Simon, Metal source and drain mos transistor.
  56. Mizushima, Kazutoshi; Miura, Nakaji; Sekine, Yasuhiro; Suzuki, Makoto; Tomii, Kazuya, Method for apparatus for polishing outer peripheral chamfered part of wafer.
  57. Lee,Hsin Hui; Huang,Chien Chao; Wang,Chao Hsiung; Yang,Fu Liang; Hu,Chenming, Method for dicing semiconductor wafers.
  58. Sun-wung Lee KR; Jae-phil Boo KR; Kyung-hyun Kim KR; Chang-ki Hong KR, Method for fabricating MOS transistor using selective silicide process.
  59. Aminzadeh Payman ; Arghavani Reza ; Moon Peter, Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxi.
  60. Fried, David M.; Nowak, Edward J., Method for fabricating multiple-plane FinFET CMOS.
  61. Lin Horng-Chih (Hsinchu TWX) Chen Liang-Po (Hsinchu TWX) Lin Hsiao-Yi (Hualien Hsien TWX) Chang Chun-Yen (Hsinchu TWX), Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration.
  62. Pham, Daniel T.; Barr, Alexander L.; Mathew, Leo; Nguyen, Bich-Yen; Vandooren, Anne M.; White, Ted R., Method for forming a double-gated semiconductor device.
  63. Yang, Chih-Yuh; Ahmed, Shibly S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Method for forming a fin in a finFET device.
  64. Yu, Bin; Wang, Haihong, Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device.
  65. Nowak, Edward J., Method for forming asymmetric dual gate transistor.
  66. Mukai Mikio,JPX, Method for forming field effect transistor having multiple gate electrodes surrounding the channel region.
  67. Buynoski, Matthew S.; Dakshina-Murthy, Srikanteswara; Tabery, Cyrus E.; Wang, Haihong; Yang, Chih-Yuh; Yu, Bin, Method for forming fins in a FinFET device using sacrificial carbon layer.
  68. Yu, Bin; An, Judy Xilin; Tabery, Cyrus E.; Wang, Haihong, Method for forming multiple structures in a semiconductor device.
  69. Krivokapic Zoran ; Krishnan Srinath ; Yeap Geoffrey Choh-Fei ; Buynoski Matthew, Method for increasing gate capacitance by using both high and low dielectric gate material.
  70. Solomon Paul Michael ; Wong Hon-Sum Philip, Method for making single and double gate field effect transistors with sidewall source-drain contacts.
  71. Shigyo Naoyuki,JPX ; Enda Toshiyuki,JPX, Method for manufacturing a semiconductor device with ion implantation.
  72. Okaniwa Kazuhiro,JPX, Method for producing semiconductor device with heat dissipation structure.
  73. Miller Alan J. ; Vahedi Vahid, Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features.
  74. Wu Shye-Lin,TWX, Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts.
  75. Chao Fung-Ching (Tainan Shih TWX), Method of fabricating a LDDFET with self-aligned silicide.
  76. Chiu, Hsien-Kuang; Chen, Fang-Cheng; Chen, Haur-Ywh; Tao, Hun-Jan; Chiu, Yuan-Hung, Method of fabricating a MOSFET device with metal containing gate structures.
  77. Ha Hyoung C. (Kwangmyungsi KRX), Method of fabricating a thin film transistor having vertical channel.
  78. Anthony, Thomas C., Method of fabricating high density sub-lithographic features on a substrate.
  79. Horng-Huei Tseng TW, Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit.
  80. Bin Yu, Method of forming a double gate transistor having an epitaxial silicon/germanium channel region.
  81. Hanafi, Hussein I.; Boyd, Diane C.; Chan, Kevin K.; Natzle, Wesley; Shi, Leathen, Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region.
  82. Ko,Chih Hsin; Ke,Chung Hu; Huang,Chien Chao, Method of forming a shallow trench isolation structure.
  83. Chau Robert S. ; Jan Chia-Hong ; Packan Paul ; Taylor Mitchell C., Method of forming a transistor.
  84. Chatterjee Amitava ; Lee Wei William ; Hames Greg A. ; He Quzhi ; Ali Iqbal ; Hanratty Maureen A., Method of forming a transistor having an improved sidewall gate structure.
  85. Wu, Chung Cheng; Wu, Shye-Lin, Method of forming an N channel and P channel FINFET device on the same semiconductor substrate.
  86. Tseng Horng-Huei,TWX, Method of forming shallow trench isolation.
  87. Yen Ching-Lang,TWX ; Lin Chingfu,TWX, Method of forming shallow trench isolation structure.
  88. Krivokapic, Zoran; Buynoski, Matthew, Method of making a self-aligned triple gate silicon-on-insulator device.
  89. Maegawa Shigeto (Itami JPX), Method of making a semiconductor device having a gate all around type of thin film transistor.
  90. Andideh, Ebrahim, Method of making a semiconductor device using a silicon carbide hard mask.
  91. Choi Jong Moon,KRX ; Kim Jong Kwan,KRX, Method of making a thin film transistor.
  92. Koh Risho (Tokyo JPX), Method of making a transistor having easily controllable impurity profile.
  93. Pan Yang (Singapore SGX), Method of making self-aligned halo process for reducing junction capacitance.
  94. Chidambarrao,Dureseti; Dokumaci,Omer, Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby.
  95. Montree Andreas H.,NLX ; Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Method of manufacturing a nonvolatile memory.
  96. Hisamoto Dai (Kokubunji JPX) Kaga Toru (Urawa JPX) Kimura Shinichiro (Hachioji JPX) Moniwa Masahiro (Hannou JPX) Tanaka Haruhiko (Kokubunji JPX) Hiraiwa Atsushi (Kodaira JPX) Takeda Eiji (Koganei JPX, Method of manufacturing a semiconductor device having silicon islands.
  97. Inumiya Seiji,JPX ; Saito Tomohiro,JPX ; Yagishita Atsushi,JPX ; Hieda Katsuhiko,JPX ; Iinuma Toshihiko,JPX, Method of manufacturing a semiconductor device which includes forming a dummy gate.
  98. Cha, Tae Ho; Jang, Se Aug; Kim, Tae Kyun; Park, Dea Gyu; Yeo, In Seok; Park, Jin Won, Method of manufacturing a transistor in a semiconductor device.
  99. Zhang, Hongyong; Takayama, Toru; Takemura, Yasuhiko; Miyanaga, Akiharu; Ohtani, Hisashi; Takeyama, Junichi, Method of preparing a semiconductor having controlled crystal orientation.
  100. Rao Annapragada, Method of preventing damage to organo-silicate-glass materials during resist stripping.
  101. Hirai Yoshihiko (Osaka JPX) Morimoto Kiyoshi (Neyagawa JPX) Terui Yasuaki (Neyagawa JPX) Niwa Masaaki (Hirakata JPX) Yasui Juro (Toyonaka JPX) Okada Kenji (Suita JPX) Udagawa Masaharu (Tokyo JPX) Yuk, Method of producing electrically insulated silicon structure.
  102. Hata William Y., Method of producing stepped wall interconnects and gates.
  103. Ahmed, Shibly S.; Tabery, Cyrus E.; Wang, Haihong; Yu, Bin, Method using planarizing gate material to improve gate critical dimension in semiconductor devices.
  104. Leonard Forbes ; Wendell P. Noble, Methods for dual-gated transistors.
  105. Cheong, Kong-Soo; Kang, Hee-Sung, Methods for fabricating MOS transistors with notched gate electrodes.
  106. Lochtefeld,Anthony J.; Langdo,Thomas A.; Hammond,Richard; Currie,Matthew T.; Braithwaite,Glyn; Fitzgerald,Eugene A., Methods of forming strained-semiconductor-on-insulator finFET device structures.
  107. Lee,Deok Hyung; Lee,Byeong Chan; Jung,In Soo; Son,Yong Hoon; Choi,Siyoung; Kim,Taek Jung, Multi-structured Si-fin.
  108. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Multiple-gate transistors with improved gate control.
  109. Fried, David M.; Nowak, Edward J., Multiple-plane FinFET CMOS.
  110. Rios, Rafael; Doyle, Brian S.; Linton, Jr., Thomas D.; Kavalieros, Jack, N-gate transistor.
  111. Chapman Richard A. (Dallas TX) Buss Dennis D. (Richardson TX) Kinch Michael A. (Dallas TX), Narrow band-gap semiconductor CCD imaging device and method of fabrication.
  112. Krivokapic, Zoran; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Wang, Haihong; Yu, Bin, Narrow fin FinFET.
  113. Hareland, Scott A.; Chau, Robert S.; Doyle, Brian S.; Datta, Suman, Nonplanar device with stress incorporation layer and method of fabrication.
  114. Hareland,Scott A.; Chau,Robert S.; Doyle,Brian S.; Datta,Suman; Jin,Been Yih, Nonplanar device with stress incorporation layer and method of fabrication.
  115. Watanabe Hiroshi,JPX ; Shimizu Kazuhiro,JPX ; Takeuchi Yuji,JPX ; Aritome Seiichi,JPX, Nonvolatile semiconductor memory device.
  116. Chang, Ming-Ching, Oxidation process to improve polysilicon sidewall roughness.
  117. Muller K. Paul L. ; Nowak Edward J. ; Wong Hon-Sum P., Planarized silicon fin device.
  118. Natzle, Wesley C.; Doris, Bruce B.; Deshpande, Sadanand V.; Mo, Renee T.; O'Neil, Patricia A., Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer.
  119. Bin Yu, Process for forming multiple active lines and gate-all-around MOSFET.
  120. Beintner,Jochen C.; Chidambarrao,Dureseti; Li,Yujun; Settlemyer, Jr.,Kenneth T., Pull-back method of forming fins in FinFets.
  121. Yuki Koichiro,JPX ; Hirai Yoshihiko,JPX ; Morimoto Kiyoshi,JPX ; Niwa Masaaki,JPX ; Yasui Juro,JPX ; Okada Kenji,JPX ; Udagawa Masaharu,JPX ; Morita Kiyoyuki,JPX, Quantization functional device utilizing a resonance tunneling effect and method for producing the same.
  122. Inaki, Kyoichi; Watanabe, Naoto; Segawa, Tohru; Kimura, Hiroyuki, Quartz glass jig for processing apparatus using plasma.
  123. Jean Pierre Colinge ; Carlos H. Diaz, Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same.
  124. Wang,Haihong; Ahmed,Shibly S.; Lin,Ming Ren; Yu,Bin, Reversed T-shaped FinFET.
  125. Pey Kin-Leong,SGX ; Siah Soh-Yun,SGX, Salicide formation on narrow poly lines by pulling back of spacer.
  126. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  127. Fried, David M.; Hoague, Timothy J.; Nowak, Edward J.; Rankin, Jed H., Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same.
  128. Zoran Krivokapic ; Matthew Buynoski, Self-aligned double gate silicon-on-insulator (SOI) device.
  129. Cabral, Jr., Cyril; Chan, Kevin K.; Cohen, Guy Moshe; Guarini, Kathryn Wilder; Lavoie, Christian; Solomon, Paul Michael; Zhang, Ying, Self-aligned silicide process for silicon sidewall source and drain contacts.
  130. Kiyotaka Miyano JP; Ichiro Mizushima JP; Yoshitaka Tsunashima JP; Tomohiro Saito JP, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  131. Sugiyama, Naoharu; Tezuka, Tsutomu; Mizuno, Tomohisa; Takagi, Shinichi, Semiconductor device.
  132. Burroughes Jeremy H. (Cambridge GBX) Arnone Donald D. (Cambridge GBX), Semiconductor device and method for its manufacture.
  133. Inumiya Seiji,JPX ; Hieda Katsuhiko,JPX ; Matsuda Tetsuo,JPX ; Ozawa Yoshio,JPX, Semiconductor device and method for manufacturing same.
  134. Yamazaki, Shunpei; Asami, Taketomi; Takayama, Toru; Kawasaki, Ritsuko; Adachi, Hiroki; Sakamoto, Naoya; Hayakawa, Masahiko; Shibata, Hiroshi; Arai, Yasuyuki, Semiconductor device and method of fabricating the same.
  135. Nishinohara, Kazumi; Akasaka, Yasushi; Suguro, Kyoichi, Semiconductor device and method of manufacturing the same.
  136. Horiuchi, Masatada, Semiconductor device and method of producing the same.
  137. Inaba, Satoshi; Ohuchi, Kazuya, Semiconductor device having MIS field effect transistors or three-dimensional structure.
  138. Yu, Bin; Ahmed, Shibly S.; An, Judy Xilin; Dakshina-Murthy, Srikanteswara; Krivokapic, Zoran; Wang, Haihong, Semiconductor device having a U-shaped gate structure.
  139. Mizuno Tomohisa,JPX ; Ushiku Yukihiro,JPX ; Yoshimi Makoto,JPX ; Terauchi Mamoru,JPX ; Kawanaka Shigeru,JPX, Semiconductor device having a projecting element region.
  140. Maegawa, Shigeto; Ipposhi, Takashi; Iwamatsu, Toshiaki, Semiconductor device having a thin film transistor and manufacturing method thereof.
  141. Kawashima Ikue,JPX, Semiconductor device having opposite-polarity region under channel.
  142. Shigyo Naoyuki,JPX ; Enda Toshiyuki,JPX, Semiconductor device of a silicon on insulator metal-insulator type with a concave feature.
  143. Oku Tomoki,JPX ; Yoshida Naohito,JPX ; Miyakuni Shinichi,JPX ; Shiga Toshihiko,JPX, Semiconductor device with refractory metal element.
  144. Shunsuke Inoue JP; Mamoru Miyawaki JP; Tetsunobu Kochi JP, Semiconductor device, and operating device, signal converter, and signal processing system using the same semiconductor device.
  145. Koichiro Ishibashi JP; Kenichi Osada JP, Semiconductor integrated circuit device.
  146. Ema Taiji (Kawasaki JPX) Itabashi Kazuo (Kawasaki JPX), Semiconductor memory device having thin film transistor and method of producing the same.
  147. Chen, Hao-Yu; Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Semiconductor nano-rod devices.
  148. Murthy, Anand S.; Doyle, Brian S.; Roberds, Brian E., Semiconductor transistor having a backfilled channel material.
  149. Yeo, Yee-Chia; Chen, How-Yu; Huang, Chien-Chao; Lee, Wen-Chin; Yang, Fu-Liang; Hu, Chenming, Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors.
  150. Snyder, John P., Short-channel schottky-barrier MOSFET device and manufacturing method.
  151. Sundaresan Ravishankar (Garland TX), Sidewall doping technique for SOI transistors.
  152. Maszara, Witold P., Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide.
  153. Almgren Carl W. (Austin TX), Slope etch of polyimide.
  154. Dong, Jie; Suzuki, Katsumasa; Masusaki, Hiroshi; Matsumoto, Koh, Spectroscopic method for analyzing isotopes by using a semiconductor laser.
  155. Hirayama Teruo,JPX, Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate.
  156. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained Fin FETs structure and method.
  157. Dakshina-Murthy, Srikanteswara; An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Strained channel FinFET.
  158. Dakshina-Murthy, Srikanteswara; An, Judy Xilin; Krivokapic, Zoran; Wang, Haihong; Yu, Bin, Strained channel finfet.
  159. Clark, William F.; Fried, David M.; Lanzerotti, Louis D.; Nowak, Edward J., Strained fin FETs structure and method.
  160. Lee,Jong Jan; Hsu,Sheng Teng; Tweet,Douglas J.; Maa,Jer Shen, Strained silicon finFET device.
  161. Yeo, Yee-Chia; Yang, Fu-Liang; Hu, Chenming, Strained-channel multiple-gate transistor.
  162. Choi Jeong Yeol ; Chien Chung-Jen ; Han Chung Chyung ; Lien Chuen-Der, Structure for controlling threshold voltage of MOSFET.
  163. Morey, Ian J.; Ellingboe, Susan; Flanner, Janet M.; Janowiak, Christine M.; Lang, John, Technique for etching a low capacitance dielectric layer.
  164. Kim Weonkeun (Incheon KRX) Kim Chulsoo (Kyungki-do KRX) Han Jeongin (Seoul KRX), Thin film transistor with three dimensional multichannel structure.
  165. Kang, Woo-Tag; Lee, Kil-Ho, Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer.
  166. Kwak, Byung Il; Ahn, Kyung Jun, Transistor of semiconductor device, and method for manufacturing the same.
  167. Li, Hong-Jyh; Chaudhary, Nirmal, Transistor with dopant-bearing metal in source and drain.
  168. Chau, Robert S.; Doyle, Brian S.; Kavalieros, Jack; Barlage, Douglas; Datta, Suman; Hareland, Scott A., Tri-gate devices and methods of fabrication.
  169. Chau,Robert S.; Doyle,Brian S.; Kavalieros,Jack; Barlage,Douglas; Datta,Suman, Tri-gate devices and methods of fabrication.
  170. Gardner Mark I. ; Hause Fred N., Ultra short trench transistors and process for making same.
  171. Wang, Haihong; An, Judy Xilin; Yu, Bin, Uniformly doped source/drain junction in a double-gate MOSFET.
  172. Dennison, Charles H., Use of gate electrode workfunction to improve DRAM refresh.
  173. Lim Byung-hak,KRX, Vertical transistor and memory cell.
  174. Shunsuke Andoh JP; Hirofumi Watanabe JP, Voltage generating circuit and reference voltage source circuit employing field effect transistors.

이 특허를 인용한 특허 (13)

  1. Chen, Tong-Yu; Wang, Chih-Jung, FinFET structure.
  2. Choi, Munkang; Moroz, Victor; Lin, Xi-Wei, Increasing ION /IOFF ratio in FinFETs and nano-wires.
  3. Choi, Munkang; Moroz, Victor; Lin, Xi-Wei, Increasing Ion/Ioff ratio in FinFETs and nano-wires.
  4. King, Tsu-Jae; Moroz, Victor, Integrated circuit on corrugated substrate.
  5. Kawa, Jamil; Moroz, Victor, Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits.
  6. Kawa, Jamil; Moroz, Victor, Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits.
  7. Kawa, Jamil; Moroz, Victor, Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits.
  8. Moroz, Victor; Kawa, Jamil, Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits.
  9. Basker, Veeraraghavan S.; Leobandung, Effendi; Yamashita, Tenko; Yeh, Chun-Chen, MIM capacitor in FinFET structure.
  10. Basker, Veeraraghavan S.; Leobandung, Effendi; Yamashita, Tenko; Yeh, Chun-Chen, MIM capacitor in finFET structure.
  11. Cheng, Kangguo; Haran, Balasubramanian S.; Ponoth, Shom; Standaert, Theodorus E.; Yamashita, Tenko, MOSFET including asymmetric source and drain regions.
  12. Cheng, Kangguo; Haran, Balasubramanian S.; Ponoth, Shom; Standaert, Theodorus E.; Yamashita, Tenko, MOSFET including asymmetric source and drain regions.
  13. Inoue, Masaki; Ishii, Kazuhisa; Noro, Motoki; Kawada, Shinji, Plasma treatment method and plasma treatment device.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로