$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Plasma uniformity control by gas diffuser curvature 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/455
출원번호 US-0173210 (2005-07-01)
등록번호 US8074599 (2011-11-29)
발명자 / 주소
  • Choi, Soo Young
  • Park, Beom Soo
  • White, John M.
  • Tiner, Robin L.
출원인 / 주소
  • Applied Materials, Inc.
대리인 / 주소
    Patterson & Sheridan, L.L.P.
인용정보 피인용 횟수 : 148  인용 특허 : 113

초록

Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution assembly for a plasma processing chamber comprises a diffuser plate with gas passages passing between its upstream and downstream sides and hollow cathode cavities

대표청구항

The invention claimed is: 1. A gas distribution plate assembly for a plasma processing chamber, comprising:a diffuser plate having an upstream side, a downstream side, a plurality of cathode cavities in a center region, and a plurality of cathode cavities in an edge region, wherein the downstream si

이 특허에 인용된 특허 (113)

  1. David T. Or ; Keith K. Koai ; Fufa Chen ; Lawrence C. Lei, 300 mm CVD chamber design for metal-organic thin film deposition.
  2. White John M. (Hayward CA) Berkstresser David E. (Los Gatos CA) Petersen Carl T. (Fremont CA), Alignment of a shadow frame and large flat substrates on a heated support.
  3. Hillman, Joseph T.; Yasar, Tugrul; Kubo, Kenichi; Vezin, Vincent; Yamasaki, Hideaki; Kojima, Yasuhiko; Kawano, Yumiko; Yoshikawa, Hideki, Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber.
  4. Carpenter, Craig M.; Mardian, Allen P.; Dando, Ross S.; Tschepen, Kimberly R.; Derderian, Garo J., Apparatus and method for depositing materials onto microelectronic workpieces.
  5. Leusink Gerrit J. ; Ward Michael G. ; Bao Tayler,TWX ; Yeh Jerry ; Hillman Joseph T. ; Yasar Tugrul, Apparatus and method for electrically isolating an electrode in a PECVD process chamber.
  6. Sherman Arthur (Palo Alto CA), Apparatus and method for high rate deposition and etching.
  7. Zhao Jun ; Sajoto Talex ; Selyutin Leonid ; Dornfest Charles ; Wolff Stefan ; Luo Lee ; Juco Eller, Apparatus for ceramic pedestal and metal shaft assembly.
  8. Foster Robert F. (Phoenix AZ) Hillman Joseph T. (Scottsdale AZ) LeBlanc Rene E. (East Haven CT), Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating suscept.
  9. Perrin Jerome,FRX ; Elyaakoubi Mustapha,FRX ; Schmitt Jacques,FRX, Capacitively coupled RF-plasma reactor.
  10. Allman, Derryl D. J.; Mansour, Nabil; Saopraseuth, Ponce, Capacitor with stoichiometrically adjusted dielectric and method of fabricating same.
  11. Chen Chen-An ; Littau Karl Anthony, Chemical vapor deposition manifold.
  12. Mahawili Imad (Sunnyvale CA), Chemical vapor deposition reactor and method of operation.
  13. Walchli, Urs; Bjorkman, Per; Stocker, Rudolf; Gantner, Marcel, Combination pressure sensor with capacitive and thermal elements.
  14. Coate Robert B. (208 Otis Dr. Waco TX) Towles John T. (208 Otis Dr. Waco TX 76710), Compact ozone generator.
  15. Zoeller, Joseph Robert; Buchanan, Norma Lindsey; Sharkey, Elizabeth Celia; Terrill, Daniel Latham; Bellner, Steven Paul, Continuous process for the preparation of amines.
  16. Choi,Soo Young; Won,Tae Kyung; Furuta,Gaku; Wang,Qunhua; White,John M.; Park,Beom Soo, Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors.
  17. Turlot, Emmanuel; Chevrier, Jean-Baptiste; Schmitt, Jacques; Barreiro, Jean, Design of gas injection for the electrode in a capacitively coupled RF plasma reactor.
  18. Halsey, Harlan I.; Jacob, Dave E., Diffuser and rapid cycle chamber.
  19. Hanson Kyle M. ; Weaver Robert A. ; Simchuk Jerry ; Thompson Raymon F., Diffuser with spiral opening pattern for an electroplating reactor vessel.
  20. Kim Byong-dong,KRX ; Lee Jung-kyu,KRX ; Kim Sung-il,KRX, Dry etching method and apparatus for manufacturing a semiconductor device.
  21. Watabe Masahiro (Kawasaki JPX), Dry process apparatus using plural kinds of gas.
  22. Lilleland John ; Hubacek Jerome S. ; Kennedy William S., Electrode for plasma processes and method for manufacture and use thereof.
  23. Herchen Harald ; Merry Walter ; Brown William, Etch enhancement using an improved gas distribution plate.
  24. Cathey David A. ; Rolfson J. Brett ; Ward Valerie A. ; Winchester Karen M., Etch stop for use in etching of silicon oxide.
  25. Zhao Jun ; Schreiber Alex, Faceplate thermal choke in a CVD plasma reactor.
  26. Jaye Richard C. (12 Brian Ct. Watertown WI 53094), Filter for water jugs.
  27. John M. White ; Ernst Keller ; Wendell T. Blonigan, Flexibly suspended gas distribution manifold for plasma chamber.
  28. Vukelic Michael (Felton CA), Fluid dispersion head for CVD appratus.
  29. Choi Jun-young,KRX, Gas diffuser having varying thickness and nozzle density for semiconductor device fabrication and reaction furnace with gas diffuser.
  30. Vanell James (Tempe AZ) Garcia Al (Gilbert AZ), Gas diffuser plate assembly and RF electrode.
  31. Rose Alan D. (Wylie TX) Kennedy ; III Robert M. (Taylors SC), Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor.
  32. Harald Herchen ; David Palagashvili ; Dmitry Lubomirsky ; Alex Schreiber, Gas distribution plate.
  33. Choi, Soo Young; Shang, Quanyuan; Greene, Robert I.; Hou, Li, Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition.
  34. Janakiraman, Karthik; Ingle, Nitin; Yuan, Zheng; Gianoulakis, Steven, Gas distribution showerhead.
  35. Lei Lawrence ; Trinh Son ; Johnson Mark, Heat exchange passage connection.
  36. White John M. ; Chang Larry, Heated substrate support structure.
  37. Matsumura, Hideki; Masuda, Atsushi; Ishibashi, Keiji; Tanaka, Masahiko; Karasawa, Minoru, Heating element CVD system.
  38. Fairbairn Kevin (Saratoga CA) Nowak Romuald (Cupertino CA), High density plasma CVD and etching reactor.
  39. Stevens, Craig Lyle, High throughput architecture for semiconductor processing.
  40. Kurita Shinichi ; White John M., In-situ substrate transfer shuttle.
  41. Redeker Fred C. ; Nowak Romuald ; Ishikawa Tetsuya ; Detrick Troy ; Pinson ; II Jay Dee, Inductively coupled HDP-CVD reactor.
  42. Chang Mei (Cupertino CA) Wang David N. K. (Cupertino CA) White John M. (Hayward CA) Maydan Dan (Los Altos Hills CA), Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films.
  43. Martin Richard L. (Hesperia CA), Leak-proof valve for gas cylinders.
  44. Chew Sandy M.-S. (Fremont CA) Clark Shane D. (Livermore CA) Rose Ron L. (Los Gatos CA) DuBois Dale R. (Los Gatos CA) Leung Cissy (Fremont CA) Morrison Alan F. (San Jose CA) Wong Manus K. (San Jose CA, Lift fingers for substrate processing apparatus.
  45. Fu, Tzy-Tzan; Lin, Kuan-Ting; Chou, Chao-Sheng, Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4.
  46. Gates, Stephen McConnell, Low temperature processes for making electronic device structures.
  47. Maisenholder, Bernd; Edlinger, Johannes; Heine, Claus; Pawlak, Michael; Duveneck, Gert, METHOD FOR PRODUCING A GRID STRUCTURE, AN OPTICAL ELEMENT, AN EVANESCENCE FIELD SENSOR PLATE, MICROTITRE PLATE AND AN OPTICAL COMMUNICATION ENGINEERING COUPLER AS WELL AS A DEVICE FOR MONITORING A WA.
  48. Foster Robert F. (Phoenix AZ) Hillman Joseph T. (Scottsdale AZ) Arora Rikhit (Mesa AZ), Method and apparatus for low temperature deposition of CVD and PECVD films.
  49. Dunham, Scott William, Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes.
  50. Ngo Minh Van ; Kitson Terri Jo ; Nguyen Khanh, Method for depositing silicon nitride using low temperatures.
  51. Kam S. Law ; Robert Robertson ; Pamela Lou ; Marc Michael Kollrack ; Angela Lee ; Dan Maydan, Method for multilayer CVD processing in a single chamber.
  52. Hans Von Kanel CH; Carsten Rosenblad CH; Jurgen Ramm CH, Method for producing coated workpieces, uses and installation for the method.
  53. Von K?nel, Hans; Rosenblad, Carsten; Ramm, Jurgen, Method for producing coated workpieces, uses and installation for the method.
  54. Aota, Yukito; Kanai, Masahiro; Koike, Atsushi; Sushihara, Tomokazu, Method of forming silicon nitride deposited film.
  55. Ichikawa Yuji,JPX ; Tanaka Yasushi,JPX ; Souki Yasuo,JPX ; Kubokoya Ryouichi,JPX ; Kuroyanagi Akira,JPX ; Shioya Hirohito,JPX, Method of forming silicon nitride with varied hydrogen concentration.
  56. Law Kam ; Olsen Jeff, Method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition.
  57. Lee, Tai-Peng, Method of making a silicon nitride film that is transmissive to ultraviolet light.
  58. Mittelstadt Robert F. (Auburn WA), Method of planar forming of zero degree composite tape.
  59. Sharma, Sunity; Narang, Subhash; Bhasin, Kuldip; Sharma, Madan Lal, Method of producing and depositing a metal film.
  60. Tang, Sum-Yee; Wang, May Yuxiang; D'Cruz, Lester A., Mixed frequency high temperature nitride CVD process.
  61. Moore Gary M., Multi-layer susceptor for rapid thermal process reactors.
  62. Chang Mei ; Wang David N. K. ; White John M. ; Maydan Dan, PECVD of compounds of silicon from silane and nitrogen.
  63. Guo Xin Sheng ; Koai Keith ; Chen Ling ; Bhan Mohan K. ; Zheng Bo, Pattern of apertures in a showerhead for chemical vapor deposition.
  64. Schmalstieg, Lutz; Lemmerz, Ralf; Walter, Ulrich; Eckhardt, Alexander, Phosphate-stabilized polyurethane materials, cross-linked by condensation, method for their production and use thereof.
  65. Frankel Carl B. (San Francisco CA), Planar color gradients constructed as an arbitrary function of a distance function from an arbitrary 2-D curvilinear fun.
  66. Matsuki, Nobuo; Morisada, Yoshinori, Plasma CVD film-forming device.
  67. Matsuki, Nobuo; Morisada, Yoshinori, Plasma CVD film-forming device.
  68. Law Kam S. (Union City CA) Robertson Robert (Palo Alto CA) Lou Pamela (San Francisco CA) Kollrack Marc M. (Alameda CA) Lee Angela (Sunnyvale CA) Maydan Dan (Los Altos Hills CA), Plasma CVD of silicon nitride thin films on large area glass substrates at high deposition rates.
  69. Mallon Thomas G. (Santa Clara CA), Plasma enhanced chemical vapor reactor with shaped electrodes.
  70. Dunham, Scott William, Plasma generator assembly for use in CVD and PECVD processes.
  71. Kanetsuki, Norio; Tadera, Takamitsu; Yamamoto, Tatsushi; Hirayama, Masaki; Ohmi, Tadahiro, Plasma process apparatus.
  72. Cain John L. (Schertz TX) Relue Michael P. (San Antonio TX) Costabile Michael E. (San Antonio TX) Marsh William P. (San Antonio TX), Plasma processing apparatus.
  73. Ishida Toshimichi,JPX ; Yamada Yuichiro,JPX ; Takisawa Takahiro,JPX ; Tanabe Hiroshi,JPX, Plasma processing apparatus.
  74. Kaji Tetsunori,JPX ; Tachi Shinichi,JPX ; Otsubo Toru,JPX ; Watanabe Katsuya,JPX ; Mitani Katsuhiko,JPX ; Tanaka Junichi,JPX, Plasma processing apparatus and plasma processing method.
  75. Kim Chang-sik,KRX ; Park Jin-ho,KRX ; Moon Kyeong-seob,KRX ; Seo Young-ho,KRX ; Lim Tae-hyung,KRX ; Choi Byung-mook,KRX ; Kim Ju-ho,KRX, Plasma processing apparatus protected from discharges in association with secondary potentials.
  76. Hideshi Miyajima JP; Keiji Fujita JP, Plasma processing method and plasma processing apparatus.
  77. Schmitt Jacques,FRX, Plasma reactor for the treatment of large size substrates.
  78. Eugen Beck LI; Jurgen Ramm CH; Heinrich Zimmermann CH, Process for manufacturing coated plastic body.
  79. Fukunaga Yukio,JPX ; Shinozaki Hiroyuki,JPX ; Tsukamoto Kiwamu,JPX ; Saitoh Masao,JPX, Reactant gas ejector head.
  80. Hao Fangli ; Dhindsa Rajinder ; Pourhashemi Javad, Reaction chamber component having improved temperature uniformity.
  81. Garbis Dennis (Dix Hills NY) Chan Joseph Y. (Kings Park NY) Granata Amedeo J. (Flushing NY) Heller Robert C. (Stony Brook NY), Reactor and susceptor for chemical vapor deposition process.
  82. Park, Young-Hoon, Reactor for depositing thin film on wafer.
  83. Umotoy Salvador ; Nguyen Anh N. ; Tran Truc T. ; Chung-Lei Lawrence ; Chang Mei, Reactor optimized for chemical vapor deposition of titanium.
  84. Mallon Thomas G., Semiconductor integrated circuit processing wafer having a PECVD material layer of improved thickness uniformity.
  85. Szapucki Matthew Peter ; Kulkaski Richard ; Hadley Trevor J. ; Santorelli Mark Anthony, Showerhead electrode assembly for plasma processing.
  86. Williams Norman (Newark CA), Showerhead for uniform distribution of process gas.
  87. White John M. (Hayward CA), Single substrate vacuum processing apparatus having improved exhaust system.
  88. Justice Richard S. (St. Charles IL), Slotted shim.
  89. Bowman Russell (San Jose CA) Anderson Roger N. (San Jose CA), Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus.
  90. Yang Chin-Shien,TWX ; Chen Chuan-Huai,TWX ; Lin Cheng-Kun,TWX, Sputter etching chamber having a gas baffle with improved uniformity.
  91. Hillman Joseph T., Stacked showerhead assembly for delivering gases and RF power to a reaction chamber.
  92. John M. White ; Larry Chang ; Emanuel Beer, Support frame for substrates.
  93. White John M. ; Chang Larry ; Beer Emanuel, Support frame for substrates.
  94. Shang Quanyuan ; Sun Sheng ; Law Kam S. ; Beer Emanuel, Surface-treated shower head for use in a substrate processing chamber.
  95. Perlov Ilya (Santa Clara CA), Susceptor drive and wafer displacement mechanism.
  96. Wendt Dan J. (Lino Lakes MN) Kemske Jonathon D. (New Brighton MN) Pesheck Peter S. (Brooklyn Center MN), Susceptor in combination with grid for microwave oven package.
  97. Levin Liza (Plymouth MN) Pesheck Peter S. (Brooklyn Center MN), Susceptor with conductive border for heating foods in a microwave oven.
  98. Keller, Ernst; Shang, Quanyuan, Suspended gas distribution manifold for plasma chamber.
  99. Voser, Stephan; Lorenz, Eduard Karl, Target comprising thickness profiling for an RF magnetron.
  100. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  101. Wang David Nin-Kou ; White John M. ; Law Kam S. ; Leung Cissy ; Umotoy Salvador P. ; Collins Kenneth S. ; Adamik John A. ; Perlov Ilya ; Maydan Dan, Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process.
  102. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Thermally floating pedestal collar in a chemical vapor deposition chamber.
  103. Ishiguro Hideto,JPX, Thin film transistor fabrication method, active matrix substrate fabrication method, and liquid crystal display device.
  104. Colpo, Pascal; Rossi, Fran.cedilla.ois, Uniform gas distribution in large area plasma source.
  105. Takahashi Yoshikazu (Kiryu JPX) Nishiyama Toshio (Kiryu JPX), Vacuum actuator for vehicle speed control.
  106. Reynolds, Gerald Alfred John; Halliday, Jonathan, Vacuum apparatus.
  107. Nakatsuka, Sakae, Vacuum processing apparatus.
  108. Weichart, Juergen, Vacuum treatment chamber and method for treating surfaces.
  109. Nixon Terence W. (Tewksbury MA) Shields William R. (Chelmsford MA), Vacuum valve.
  110. Bennett Arthur M. (19 Saddleback Rise Murrays Bay ; Auckland NZX), Valves.
  111. Henley Francois J. (Los Gatos CA), Voltage imaging system using electro-optics.
  112. Halpin Michael W. ; Hawkins Mark R. ; Foster Derrick W. ; Vyne Robert M. ; Wengert John F. ; van der Jeugd Cornelius A. ; Jacobs Loren R., Wafer support system.
  113. Maisenhoelder, Bernd; Edlinger, Johannes; Heine-Kempkens, Claus; Pawlak, Michael; Duveneck, Gert, Waveguide plate and process for its production and microtitre plate.

이 특허를 인용한 특허 (148)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  8. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  9. Lubomirsky, Dmitry, Chamber with flow-through source.
  10. Lubomirsky, Dmitry, Chamber with flow-through source.
  11. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  12. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  13. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  14. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  15. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  16. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  17. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  18. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  19. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  20. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  21. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  22. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  23. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  24. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  25. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  26. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  27. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  28. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  29. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  30. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  31. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  32. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  33. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  34. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  35. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  36. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  37. Huang, Tsan-Hua; Han, Tsung-Hsun; Wong, Paul; Wu, Miao-Chan, Gas injector and cover plate assembly for semiconductor equipment.
  38. Huang, Tsan-Hua; Han, Tsung-Hsun; Wong, Paul; Wu, Miao-Chan, Gas injector and cover plate assembly for semiconductor equipment.
  39. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  40. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  41. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  42. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  43. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  44. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  45. Denpoh, Kazuki; Ventzek, Peter L G; Xu, Lin; Chen, Lee, Hollow cathode device and method for using the device to control the uniformity of a plasma process.
  46. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  47. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  48. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  49. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  50. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  51. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  52. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  53. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  54. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  55. Nguyen, Victor; Balseanu, Mihaela; Xia, Li-Qun; Witty, Derek R., Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films.
  56. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  57. Ko, Jungmin, Method of fin patterning.
  58. Reilly, Patrick James; Bethke, David Alan; Lee, Kwangduk, Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor.
  59. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  60. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  61. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  62. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  63. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  64. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  65. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  66. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  67. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  68. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  69. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  70. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  71. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  72. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  73. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  74. Choi, Soo Young; White, John M.; Wang, Qunhua; Hou, Li; Kim, Ki Woon; Kurita, Shinichi; Won, Tae Kyung; Anwar, Suhail; Park, Beom Soo; Tiner, Robin L., Plasma uniformity control by gas diffuser hole design.
  75. Choi, Soo Young; White, John M.; Wang, Qunhua; Hou, Li; Kim, Ki Woon; Kurita, Shinichi; Won, Tae Kyung; Anwar, Suhail; Park, Beom Soo; Tiner, Robin L., Plasma uniformity control by gas diffuser hole design.
  76. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  77. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  78. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  79. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  80. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  81. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  82. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  83. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  84. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  85. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  86. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  87. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  88. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  89. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  90. Reilly, Patrick James; Bethke, David Alan; Balseanu, Mihaela, Pulsed nitride encapsulation.
  91. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  92. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  93. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  94. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  95. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  96. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  97. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  98. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  99. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  100. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  101. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  102. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  103. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  104. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  105. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  106. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  107. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  108. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  109. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  110. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  111. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  112. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  113. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  114. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  115. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  116. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  117. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  118. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  119. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  120. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  121. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  122. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  123. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  124. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  125. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  126. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  127. Lee, Chih-Tsung; Chang, Hung Jui; Chou, You-Hua; Jangjian, Shiu-Ko; Kao, Chung-En; Tsai, Ming-Chin; Lai, Huan-Wen, Shower head apparatus and method for controlling plasma or gas distribution.
  128. Okesaku, Masahiro; Ohmi, Tadahiro; Goto, Tetsuya; Matsuoka, Takaaki; Nozawa, Toshihisa; Inokuchi, Atsutoshi; Ishibashi, Kiyotaka, Shower plate sintered integrally with gas release hole member and method for manufacturing the same.
  129. Okesaku, Masahiro; Ohmi, Tadahiro; Goto, Tetsuya; Matsuoka, Takaaki; Nozawa, Toshihisa; Inokuchi, Atsutoshi; Ishibashi, Kiyotaka, Shower plate sintered integrally with gas release hole member and method for manufacturing the same.
  130. Okesaku, Masahiro; Goto, Tetsuya; Ohmi, Tadahiro; Ishibashi, Kiyotaka, Shower plate, method for manufacturing the shower plate, plasma processing apparatus using the shower plate, plasma processing method and electronic device manufacturing method.
  131. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  132. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  133. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  134. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  135. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  136. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  137. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  138. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  139. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  140. Je, Sung Tae; Yang, Il Kwang; Song, Byung Gyu; Park, Song Hwan, Substrate processing device equipped with semicircle shaped antenna.
  141. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  142. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  143. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  144. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  145. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  146. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  147. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  148. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로