$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method to arrange features on a substrate to replicate features having minimal dimensional variability 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B29C-035/00
  • B29C-043/00
출원번호 US-0264960 (2002-10-04)
등록번호 US-8349241 (2013-01-08)
발명자 / 주소
  • Sreenivasan, Sidlgata V.
  • Watts, Michael P. C.
출원인 / 주소
  • Molecular Imprints, Inc.
대리인 / 주소
    King, Cameron A.
인용정보 피인용 횟수 : 15  인용 특허 : 339

초록

The present invention is directed to a method of and a mold for arranging features on a substrate to replicate the features with minimal dimensional variability. The method includes arranging features on a layer to minimize thickness variations in the layer that are attributable to density variation

대표청구항

1. A method of arranging features, on a substrate having topological variations, to replicate features having minimal dimensional variability, said method comprising: forming a volume of flowable material on a region of said substrate, said region having an area associated therewith;forming, from sa

이 특허에 인용된 특허 (339)

  1. Stagaman Gregory J. (Dallas TX), Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer.
  2. Fassler Werner ; Pickering James E. ; Mooney John E., Alignment mechanism using flexures.
  3. Ina, Hideki; Yoshii, Minoru; Hasegawa, Masanobu; Satoh, Takashi, Alignment method and exposure apparatus using the same.
  4. Maracas George N. ; Legge Ronald N. ; Goronkin Herbert ; Dworsky Lawrence N., Apparatus and method for patterning a surface.
  5. Maracas George N. (Phoenix AZ) Dworsky Lawrence N. (Scottsdale AZ) Tobin Kathleen (Tempe AZ), Apparatus and method for stamping a surface.
  6. Ledger Anthony (New Fairfield CT), Apparatus and method for thick wafer measurement.
  7. Rosencwaig Allan ; Opsal Jon, Apparatus for analyzing multi-layer thin film stacks on semiconductors.
  8. Rosencwaig Allan ; Opsal Jon, Apparatus for analyzing multi-layer thin film stacks on semiconductors.
  9. Kirk Lester C., Apparatus for dispensing valuable bulk commodities and method therefor.
  10. Rohner Don R., Apparatus for selectively exposing a semiconductor topography to an electric field.
  11. Moslehi Mehrdad M., Apparatus for semiconductor device fabrication diagnosis and prognosis.
  12. Emery David G. (San Jose CA) Saidin Zain K. (Sunnyvale CA) Wihl Mark J. (Tracy CA) Fu Tao-Yi (Fremont CA) Zywno Marek (San Jose CA) Kvamme Damon F. (Ann Arbor MI) Fein Michael E. (Mountain View CA), Automated photomask inspection apparatus and method.
  13. Beltz, John P.; Hang, Kenneth W., Automatic liquid dispensing apparatus for spinning surface of uniform thickness.
  14. Sudolcan, David C.; Chadwell, Thomas J., Beverage dispenser including an improved electronic control system.
  15. Simard Gilbert,CAX, Beverage dispensing system for bar.
  16. Plat, Marina V.; Bell, Scott A.; Lyons, Christopher F.; Subramanian, Ramkumar; Singh, Bhanwar, Bi-layer trim etch process to form integrated circuit gate structures.
  17. McColgin William C. (Pittsford NY) Brust Thomas B. (Spencerport NY) Daly Robert C. (Rochester NY) Jech ; Jr. Joseph (Rochester NY) Lindholm Robert D. (Rochester NY), Bilayer lithographic process.
  18. Shafer David R. ; Chuang Yung-Ho ; Tsai Bin-Ming B., Broad spectrum ultraviolet inspection methods employing catadioptric imaging.
  19. Progler Christopher J. (Waterbury CT) Rosenbluth Alan E. (Yorktown Heights NY), CCD based confocal filtering for improved accuracy in x-ray proximity alignment.
  20. Andrew J. Krivy ; Warren M. Farnworth ; David R. Hembree ; Salman Akram ; James M. Wark ; John O. Jacobson, Calibration target for calibrating semiconductor wafer test systems.
  21. Routson Gregory S. (Kentwood MI), Circumferentially oriented flexure suspension.
  22. Sandvig, Timothy C.; Ersfeld, Dean A.; Berg, Eric P., Coated ophthalmic lenses and method for coating the same.
  23. Drake Samuel H. (Lexington MA) Simunovic Sergio N. (Newton MA), Compliant assembly system device.
  24. Day Richard A. (Whitney Point NY) Glatzel Donald H. (New Milford PA) Mertz John R. (Endicott NY) Roth Joel L. (Endicott NY) Russell David J. (Apalachin NY) Simpson Logan L. (Apalachin NY), Composition for photo imaging.
  25. Garcia Ernest J., Compound floating pivot micromechanisms.
  26. Manoj Kumar Jain ; Michael Francis Chisholm, Conductor reticulation for improved device planarity.
  27. Shih, Wu-Sheng; Lamb, III, James E.; Daffron, Mark, Contact planarization materials that generate no volatile byproducts or residue during curing.
  28. Soane David S. (Piedmont CA), Controlled casting of a shrinkable material.
  29. Singh, Bhanwar; Templeton, Michael K.; Rangarajan, Bharath; Subramanian, Ramkumar, Critical dimension monitoring from latent image.
  30. Steger, Robert J., Critical dimension variation compensation across a wafer by means of local wafer temperature control.
  31. Jiawook Rizgar Nabi,SEX ; Heidari Babak,SEX ; Olsson Lennart,SEX, Cryptosystem for optical storage.
  32. Hines Richard A., Cylindrical photolithography exposure process and apparatus.
  33. Ping Jiang ; Francis G. Celii ; Kenneth J. Newton ; Hiromi Sakima, Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics.
  34. De Fazio Thomas L. (Watertown MA), Deformable remote center compliance device.
  35. Southwell William H. (Thousand Oaks CA) Hall Randolph L. (Newbury Park CA), Deposition of multiple layer thin films using a broadband spectral monitor.
  36. Prybyla Judith Ann ; Taylor Gary Newton, Device fabrication involving planarization.
  37. Prybyla Judith Ann, Device fabrication involving surface planarization.
  38. Novembre Anthony E. (Union NJ), Device fabrication process.
  39. David, Moses Mekala; Gates, Brian John; Nelson, Brian Kenneth; Haddad, Louis C.; Dufresne, Joel Rivard, Diamond-like glass thin films.
  40. Mine Kazuhiro (Tokyo JPX), Displacement amplification mechanism for piezoelectric elements.
  41. Lee Martin E., Double flexure support for stage drive coil.
  42. Miyatake Tsutomu (Kiyose JPX), Double-focus measurement apparatus utilizing chromatic aberration by having first and second bodies illuminated respecti.
  43. Young, Bao-Ju; Tsai, Chia-Shiung; Wang, Ying-Ying, Dry development process for a bi-layer resist system.
  44. Hiraoka Hiroyuki (Saratoga CA), Dry process for forming positive tone micro patterns.
  45. Gutsche Martin ; Tobben Dirk, Dual damascene structure.
  46. Wyse Stanley Frederick (Encino CA), Dual-gimbal gyroscope flexure suspension.
  47. Bo Wikstrom SE; Lennart Olsson SE, Electric conductor with a surface structure in the form of flanges and etched grooves.
  48. Hiraoka ; Hiroyuki, Electro-lithography method.
  49. Wei Yen ; Yeh Jui-Ming ; Wang Wei ; Jang Guang-Way, Electroactive inorganic hybrid materials.
  50. Leader Matthew J. (Laguna Niguel CA) Sin Kee V. (White Bear Lake MN), Electrochemical sensor storage device.
  51. Peckels Arganious E. (Ogilvie MN), Electronic dispensing heads.
  52. Grimbergen Michael N. ; Lill Thorsten B., Endpoint detection for semiconductor processes.
  53. Hung Shu Chi (Hsin-chu TWX) Tao Hun-Jan (Hsin-chu TWX), Etch rate monitoring by optical emission spectroscopy.
  54. Sezi Recai (Roettenbach DEX) Sebald Michael (Hessdorf-Hannberg DEX) Leuschner Rainer (Grossenseebach DEX) Birkle Siegfried (Hoechstadt DEX) Ahne Hellmut (Roettenbach DEX), Etch-resistant deep ultraviolet resist process having an aromatic treating step after development.
  55. Inoue Takashi,JPX ; Nagano Hiroyuki,JPX ; Ishii Yoshimichi,JPX, Exposure apparatus for transferring a mask pattern onto a substrate.
  56. Schueller Olivier J. A. ; Brittain Scott ; Whitesides George M., Fabrication of carbon microstructures.
  57. Johnson Edward A. ; Morse Theodore F., Fiber optic reflectance apparatus for in situ characterization of thin films.
  58. Eastman Lester Fuess ; Shealy James Richard, Field effect semiconductor device having dipole barrier.
  59. Sakamoto, Yasuhiro; Yaegashi, Hidetami, Film forming method and film forming apparatus.
  60. Hashimoto Kazuhiko (Moriguchi JPX) Koizumi Taichi (Osaka JPX) Kawakita Kenji (Neyagawa JPX) Nomura Noboru (Kyoto JPX), Fine pattern forming method.
  61. Hashimoto Kazuhiko (Moriguchi JPX) Koizumi Taichi (Osaka JPX) Kawakita Kenji (Neyagawa JPX) Nomura Noboru (Kyoto JPX), Fine pattern forming method.
  62. Ubhayakar Shivadev K. (Rancho Palos Verdes CA), Flexidigit robotic manipulator.
  63. Briganti Joseph (Monroe CT), Flexure support for a fixture positioning device.
  64. Jiang, Tongbi, Fluid level sensor.
  65. Stephen Y. Chou, Fluid pressure imprint lithography.
  66. Donald J. Bray ; Chandra Venkatraman ; Craig A. Outten ; Christopher Halter ; Arvind Goel, Fluorine-doped diamond-like coatings.
  67. Brunner Timothy A. (Palo Alto CA), Focus and overlay characterization and optimization for photolithographic exposure.
  68. Nevins James L. (Burlington MA) Padavano Joseph (Shrewsbury MA), Folded remote center compliance device.
  69. Kumar Amit (Sacramento CA) Whitesides George M. (Newton MA), Formation of microstamped patterns on surfaces and derivative articles.
  70. Araki Kiyoshi (Ibaraki JPX) Yasui Juro (Osaka JPX), Gap control apparatus and method utilizing heterodyne signal phase difference detection.
  71. Frosch ; Albert ; Mannsdorfer ; Walter ; Scheuing ; Claus, Gap measuring device for defining the distance between two or more surfaces.
  72. Hoenk Michael E. (Pasadena CA) Grunthaner Paula J. (Glendale CA) Grunthaner Frank J. (Glendale CA) Terhune Robert W. (Pasadena CA) Hecht Michael H. (Los Angeles CA), Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response.
  73. Alcorn George E. (Reston VA) Bergeron David L. (Winooski VT) Stephens Geoffrey B. (Cary NC), Hardened photoresist master image mask process.
  74. van Pham Hung (Santa Clara County CA) Borglum Wayne K. (Santa Clara County CA) Mallory Chester (Santa Clara County CA), High accuracy film thickness measurement system.
  75. Chengzeng Xu ; Chengjiu Wu ; Kelly M. T. Stengel ; Lawrence W. Shacklette ; Louay Eldada ; James T. Yardley, High performance acrylate materials for optical interconnects.
  76. Kozicki Michael N. (Phoenix AZ) Hsia Shao-Wen (Tempe AZ), High resolution, multi-layer resist for microlithography and method therefor.
  77. Parce J. Wallace ; Kopf-Sill Anne R. ; Bousse Luc J., High throughput screening assay systems in microscale fluidic devices.
  78. Bonnebat Claude (Pontault-Combault FRX) Quentin Jean-Pierre (Lyon FRX) Morin Alain (Villeurbanne FRX), Highly oriented thermotropic optical disc member.
  79. Aoyama Masaaki (Kanagawa JPX) Kimura Keiichi (Kanagawa JPX), Holding apparatus for holding an article such as a semiconductor wafer.
  80. Zeigler John M. (Albuquerque NM), Hybrid sol-gel optical materials.
  81. James F. Brown, Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same.
  82. James F. Brown ; Richard A. Schneider, Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same.
  83. Sreenivasan, Sidlgata V.; Choi, Byung J.; Colburn, Matthew; Bailey, Todd, Imprint lithography template comprising alignment marks.
  84. Szetsen Steven Lee,TWX, In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique.
  85. Lee Szetsen Steven,TWX, In-situ particle monitoring.
  86. Southwell William H. (Thousand Oaks CA), Incremental monitoring of thin films.
  87. Theodore S. Moise ; Guoqiang Xing ; Mark Visokay ; Justin F. Gaynor ; Stephen R. Gilbert ; Francis Celii ; Scott R. Summerfelt ; Luigi Colombo, Integrated circuit and method.
  88. Obeng Yaw S. (Allentown PA), Integrated circuit manufacturing.
  89. Chiang Ping-Wang (Los Gatos CA), Integrated circuit multilevel interconnect system and method.
  90. Bo Su, Integrated critical dimension control for semiconductor device manufacturing.
  91. Sethumadhauan Chandrasekhar ; Andrew Gomperz Dentai, Integrated dual-wavelength transceiver.
  92. Ashmore ; Jr. Benjamin H. (Houston TX), Integrated programmable bit circuit using single-level poly construction.
  93. Whitesides, George M.; Kumar, Amit, Kit For Microcontact Printing.
  94. Feygin Michael ; Pak Sung Sik, Laminated object manufacturing apparatus and method.
  95. Calhoun Clyde D. (St. Paul MN), Lift off patterning process on a flexible substrate.
  96. Gmitter Thomas J. (Lakewood NJ) Yablonovitch Eli (Middletown Township ; Monmouth County NJ), Lift-off and subsequent bonding of epitaxial films.
  97. Milgram Alvin (Sunnyvale CA), Lift-off process for fabricating self-aligned contacts.
  98. Spence Paul A., Light weight high-stiffness stage platen.
  99. Giammarco Nicholas J. (Newburgh NY) Gimpelson Alexander (Allston MA) Kaplita George A. (New Windsor NY) Lopata Alexander D. (Fishkill NY) Scaduto Anthony F. (Newburgh NY) Shepard Joseph F. (Hopewell , Lithographic image size reduction.
  100. David P. Mancini ; Doug J. Resnick ; William J. Dauksher, Lithographic template and method of formation and use.
  101. Mancini, David P.; Resnick, Doug J.; Dauksher, William J., Lithographic template and method of formation and use.
  102. Resnick, Doug J.; Nordquist, Kevin J., Lithographic template and method of formation and use.
  103. Jeng Shin-puu (Plano TX), Low temperature anisotropic ashing of resist for semiconductor fabrication.
  104. Chou Stephen Y., Magnetic storage having discrete elements with quantized magnetic moments.
  105. Chen Alek C. (Mount Kisco NY), Magnification correction for 1-X proximity X-Ray lithography.
  106. MacDonald Noel C. (Ithaca NY) Jazairy Ali (Ithaca NY), Masking process for fabricating ultra-high aspect ratio, wafer-free micro-opto-electromechanical structures.
  107. Putvinski Sergei ; Volosov Vadim,RUX, Mass filtering sputtered ion source.
  108. Maracas George N. (Tempe AZ) Ruechner Ronald A. (Mesa AZ) Gerber Donald S. (Scottsdale AZ), Means and methods of lifting and relocating an epitaxial device layer.
  109. Yamada Takeo (Tokyo JPX) Kazama Akira (Tokyo JPX) Oshige Takahiko (Tokyo JPX), Measuring method for ellipsometric parameter and ellipsometer.
  110. Van Rijn Cornelis Johannes Maria,NLX, Membrane filter and a method of manufacturing the same as well as a membrane.
  111. Kane Roger A. (4098 Rainwood Ave. Yorba Linda CA 92686), Merchandise theft deterrent sensor.
  112. Ishibashi Yoriyuki (Kawasaki JPX) Hirano Ryoichi (Tokyo JPX) Nishida Jun (Yokohama JPX), Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a g.
  113. Oluseyi, Hakeem; Sarfaty, Moshe, Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system.
  114. Feldman Martin (New Providence NJ) White Alan D. (Berkeley Heights NJ) White Donald L. (Bernardsville NJ), Method and apparatus for aligning mask and wafer members.
  115. Bonser Douglas ; Toprac Anthony J. ; Purdy Matthew ; Behnke John R. ; Hussey ; Jr. James H., Method and apparatus for control of critical dimension using feedback etch control.
  116. Latos Thomas S. (Carpentersville IL), Method and apparatus for controlling plasma etching.
  117. Smith James C. ; Hogan Patrick T. ; Saidman Laurence B., Method and apparatus for dispensing small amounts of liquid material.
  118. Babak Heidari SE; Lennart Olsson SE, Method and apparatus for etching.
  119. Chou, Stephen Y., Method and apparatus for high density nanostructures.
  120. Chou, Stephen Y., Method and apparatus for high density nanostructures.
  121. Chou, Stephen Y., Method and apparatus for high density nanostructures.
  122. Keyworth Barrie Peter,CAX ; McMullin James Neil,CAX, Method and apparatus for making optical components by direct dispensing of curable liquid.
  123. Cavallaro William A. ; Bibeault Steven P. ; Gordon Jules, Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system.
  124. Cavallaro William A. ; Fugere Jeffrey P. ; O'Neil Todd Edwin ; Kaplan John ; Franklin Stephen M., Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system.
  125. Rossiger Volker,DEX ; Kaiser Karl-Heinz,DEX, Method and apparatus for measuring the thicknesses of thin layers by means of x-ray fluorescence.
  126. Morrison Philip W. (Shaker Heights OH) Solomon Peter R. (West Hartford CT) Carangelo Robert M. (Glastonbury CT) Hamblen David G. (East Hampton CT), Method and apparatus for monitoring layer processing.
  127. Li Guoguang ; Zhu Hongwei ; Harrison Dale A. ; Forouhi Abdul Rahim ; Xu Weilu, Method and apparatus for optically determining physical parameters of underlayers.
  128. Fudim Efrem V. (4815 N. Marlborough Dr. Milwaukee WI 53217), Method and apparatus for production of three-dimensional objects by irradiation of photopolymers.
  129. Hull Charles W., Method and apparatus for production of three-dimensional objects by stereolithography.
  130. Seshan, Ramanathan, Method and apparatus for programming a paste dispensing machine.
  131. James Broc Stirton, Method and apparatus for selecting wafer alignment marks based on film thickness variation.
  132. Moslehi Mehrdad M. (Dallas TX), Method and apparatus for semiconductor device fabrication diagnosis and prognosis.
  133. Uchida Norio (Yokohama JPX) Kikuiri Nobutaka (Tokyo JPX), Method and apparatus for setting the gap distance between a mask and a wafer at a predetermined distance.
  134. Moslehi Mehrdad M. (Dallas TX), Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment.
  135. Rust Ray Dean, Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment.
  136. Rust Ray Dean, Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment.
  137. Rust Ray Dean (Midlothian VA), Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment.
  138. Saito Susumu (Yamanashi-ken JPX) Koshimizu Chishio (Yamanashi-ken JPX) Eguchi Kazuo (Yamanashi-ken JPX), Method and device for detecting the end point of plasma process.
  139. Uchida, Norio; Ishibashi, Yoriyuki; Masuyama, Masayuki, Method for aligning first and second objects, relative to each other, and apparatus for practicing this method.
  140. Olsson Lennart,SEX ; Heidari Babak,SEX, Method for anisotropic etching of structures in conducting materials.
  141. Yang, Ming-Cheng; Wang, Jiun-Fang, Method for avoiding defects produced in the CMP process.
  142. Bickford Harry R. (Ossining NY) Duke Peter J. (Endwell NY) Foster Elizabeth (Friendsville PA) Goldberg Martin J. (Mahopac NY) Markovich Voya R. (Endwell NY) Matthew Linda C. (Peekskill NY) McBride Do, Method for conditioning halogenated polymeric materials and structures fabricated therewith.
  143. Laquer Henry Louis, Method for deforming solids in a controlled atmosphere and at adjustable rates, pressures and temperature.
  144. Miyazaki Toshiya,JPX ; Hayami Toshihiro,JPX ; Nakatsuka Tadao,JPX ; Tanaka Hiroyuki,JPX ; Nakamura Toshiyuki,JPX, Method for detecting etching endpoint, and etching apparatus and etching system using the method thereof.
  145. Bair Harvey Edward ; Hale Arturo ; Schilling Marcia Lea, Method for determining volume changes in viscous liquids.
  146. Sebald Michael (Hessdorf-Hannberg DEX) Sezi Recai (Roettenbach DEX) Leuschner Rainer (Grossenseebach DEX) Birkle Siegfried (Hoechstadt A/Aisch DEX) Ahne Hellmut (Roettenbach DEX), Method for dimensionally accurate structure transfer in bilayer technique wherein a treating step with a bulging agent i.
  147. Cronin John Edward (Franklin County VT) Potter Michael David (Grand Isle County VT) Starkey Gorden Seth (Chittenden County VT), Method for etching vertical contact holes without substrate damage caused by directional etching.
  148. Mikawa, Takumi; Kutsunai, Toshie; Judai, Yuji, Method for fabricating ferroelectric memory device and method for fabricating the same.
  149. Sakaki Hidehiko,JPX ; Yokoi Yasushi,JPX ; Monden Koji,JPX, Method for forming a V-shaped gate electrode in a semiconductor device, and the structure of the electrode.
  150. Tanigawa Makoto (Kitakatsuragi JPX) Tabuchi Hiroki (Nara JPX) Taniguchi Takayuki (Tenri JPX), Method for forming a fine resist pattern.
  151. Choi Young-jae (Yongin-kun KRX) Chung Tae-young (Suwon KRX) Park Jong-woo (Seoul KRX) Kim Young-pil (Suwon KRX), Method for forming a semiconductor memory device having a vertical multi-layered storage electrode.
  152. Wong, Jia-Fam, Method for forming a thin-film transistor.
  153. Corson, Phillip L.; Holsopple, Gary R.; Parry, Jason M.; Pokorny, William F., Method for forming graphical images in semiconductor devices.
  154. Tamamura Toshiaki (Katsuta JPX) Imamura Saburo (Mito JPX) Morita Masao (Mito JPX) Kogure Osamu (Mito JPX), Method for forming micropattern.
  155. Lee Jun Seok (Seoul KRX) Hur Hun (Seoul KRX) Song Young Jin (Seoul KRX), Method for forming multi-layer resist pattern.
  156. Fitzsimmons John A. (Poughkeepsie NY) Havas Janos (Hopewell Junction NY) Lawson Margaret J. (Newburgh NY) Leonard Edward J. (Fishkill NY) Rhoads Bryan N. (Pine Bush NY), Method for forming patterned films on a substrate.
  157. Lee Young Hoon ; Zhang Ying, Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure.
  158. Gmitter Thomas J. (Lakewood NJ) Yablonovitch Eli (Middletown NJ), Method for lifting-off epitaxial films.
  159. Chou Stephen Y., Method for making magnetic storage having discrete elements with quantized magnetic moments.
  160. Ausschnitt Christopher P. ; Wiltshire Timothy J., Method for overlay control system.
  161. Hartman Davis H. (Phoenix AZ) Lebby Michael S. (Apache Junction AZ), Method for patterning a mold.
  162. Paranjpe Ajit P. (Plano TX), Method for planarization.
  163. Riley Paul E. (San Jose CA) Ray Alan B. (Palo Alto CA) Bayer Paul (San Jose CA), Method for planarizing semiconductor substrates.
  164. Huang, Zhisong; Li, Lumin, Method for plasma etching performance enhancement.
  165. Huebner Holger (Baldham DEX), Method for producing a resist structure on a semiconductor.
  166. Rhodes Howard E. (Boise ID) Dennison Charles H. (Boise ID), Method for producing a semiconductor wafer having shallow and deep buried contacts.
  167. DeMercurio Thomas A. (Beacon NY) Wong Kwong H. (Wappinger Falls NY) Yu Roy (Wappinger Falls NY), Method for producing planar field emission structure.
  168. Elian, Klaus; Hien, Stefan; Richter, Ernst-Christian; Sebald, Michael, Method for producing resist structures.
  169. Crouch Earl T. (Evansville IN), Method for production of a coated substrate with controlled surface characteristics.
  170. Lowrey Tyler A. (Boise ID) Chance Randal W. (Boise ID) Cathey David A. (Boise ID), Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process.
  171. Scott G. Meikle, Method for removing an upper layer of material from a semiconductor wafer.
  172. Bredbenner Craig N. (Lehighton PA) Giniecki Troy A. (South Plainfield NJ) Selamoglu Nur (Philadelphia PA) Stocker Hans J. (Austin TX), Method for tapered etching.
  173. Kokubo Masahiko (Kyoto JPX) Horie Masahiro (Kyoto JPX), Method of and apparatus for measuring film thickness.
  174. Hefferon George J. (Fishkill NY) Ito Hiroshi (San Jose CA) MacDonald Scott A. (San Jose CA) Willson Carlton G. (San Jose CA), Method of creating patterned multilayer films for use in production of semiconductor circuits and systems.
  175. Kuroda Ryo (Machida JPX) Miyazaki Toshihiko (Hiratsuka JPX) Sakai Kunihiro (Isehara JPX) Nose Hiroyasu (Zama JPX) Takimoto Kiyoshi (Isehara JPX), Method of detecting positional displacement between mask and wafer, and exposure apparatus adopting the method.
  176. Zhang Nan, Method of etching a wafer layer using a sacrificial wall to form vertical sidewall.
  177. Yamanaka Koji,JPX, Method of fabricating a phase shifting reticle.
  178. Wensel Richard W., Method of fabricating a semiconductor device utilizing a residual organic compound to facilitate gate break on a carrier substrate.
  179. Gehoski, Kathleen Ann; Popovich, Laura; Mancini, David P.; Resnick, Doug J., Method of fabricating a tiered structure using a multi-layered resist stack and use.
  180. Wu Shye-Lin,TWX, Method of forming a multiple fin-pillar capacitor for a high density dram cell.
  181. Sheats James R. (Palo Alto CA), Method of forming a patterned in-situ high Tc superconductive film.
  182. Fitch Jon T. (Austin TX) Maniar Papu (Austin TX) Witek Keith E. (Austin TX) Gelatos Jerry (Austin TX) Moazzami Reza (Austin TX) Ajuria Sergio A. (Austin TX), Method of forming a semiconductor structure having an air region.
  183. Fukui Yasuo (Kadoma JPX) Tsukamoto Masahide (Nara JPX) Nishimura Yutaka (Kadoma JPX), Method of forming an ink image and printing the formed image.
  184. Enoch Kim ; Younan Xia ; Milan Mrksich ; Rebecca J. Jackman ; Xiao-Mei Zhao ; Stephen P. Smith ; Mara G. Prentiss ; George M. Whitesides ; Christian Marzolin FR, Method of forming articles including waveguides via capillary micromolding and microtransfer molding.
  185. Boehnke Ralf-Dieter (Hamburg DEX) Dammann Hans (Tangstedt DEX) Rabe Gert (Pinneberg DEX), Method of forming at least one groove in a substrate layer.
  186. Mukherjee-Roy, Moitreyee; Bliznetsov, Vladimir N., Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC).
  187. Shyue Fong Quek MY; Ting Cheong Ang SG; Jun Song SG; Sang Yee Loong SG, Method of hard mask patterning.
  188. Izumi, Yoshihiro; Chikama, Yoshimasa; Kawashima, Satoshi; Hashimoto, Takaharu; Yoshikawa, Itsuji; Ishikawa, Masaaki, Method of making a metal film pattern.
  189. Kuwabara Kazuhiro (Hitachi JPX) Mori Yuji (Hitachi JPX) Mikami Yoshiro (Hitachi JPX), Method of manufacturing a thin-film pattern on a substrate.
  190. Guckel Henry (Madison WI) Christenson Todd R. (Madison WI) Skrobis Kenneth J. (Madison WI), Method of manufacturing micromechanical devices.
  191. Horie Masahiro (Kamikyo JPX) Fujiwara Nariaki (Kamikyo JPX) Kokubo Masahiko (Kamikyo JPX), Method of measuring film thicknesses.
  192. Harrison Christopher ; Park Miri ; Register Richard ; Adamson Douglas ; Mansky Paul ; Chaikin Paul, Method of nanoscale patterning and products made thereby.
  193. Hartney Mark A. (Carlisle MA), Method of patterning a phenolic polymer film without photoactive additive through exposure to high energy radiation belo.
  194. Huang Chien-Chao,TWX, Method of producing phase shifting mask.
  195. Haisma Jan (Eindhoven NLX) Verheijen Martinus (Eindhoven NLX) Schrama Johannes T. (Eindhoven NLX), Method of providing a patterned relief of cured photoresist on a flat substrate surface and device for carrying out such.
  196. Meissl, Mario J.; Choi, Byung J.; Babbs, Daniel; Bailey, Hillman L., Method, system and holder for transferring templates during imprint lithography processes.
  197. Zhao Jun ; Luo Lee ; Wang Jia-Xiang ; Jin Xiao Liang ; Wolff Stefan ; Sajoto Talex ; Chang Mei ; Smith Paul Frederick, Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment.
  198. Erik Schaffer NL; Jurgen Mlynek DE; Ullrich Steiner NL; Thomas Thurn-Albrecht DE; Thomas P. Russell, Methods and apparatus for forming submicron patterns on films.
  199. Griffith Jonathan H. (Poughkeepsie NY) Kim John I. (Fishkill NY) Leong Thomas L. (San Jose CA) Tilly William J. (Poughkeepsie NY) Wacks Sari (Forest Hills NY), Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that ut.
  200. Austin Larry W. (Hinesburg VT) Linde Harold G. (Richmond VT) Nakos James S. (Essex VT), Methods and compositions for the selective etching of silicon.
  201. Rye Robert R. (Albuquerque NM) Ricco Antonio J. (Albuquerque NM) Hampden-Smith M. J. (Albuquerque NM) Kodas T. T. (Albuquerque NM), Methods for patterned deposition on a substrate.
  202. Marsh, Eugene P., Methods for planarization of non-planar surfaces in device fabrication.
  203. Toshiharu Furukawa ; Mark C. Hakey ; Steven J. Holmes ; David V. Horak ; Paul A. Rabidoux, Methods of T-gate fabrication using a hybrid resist.
  204. Whitesides George M. ; Xia Younan ; Wilbur James L. ; Jackman Rebecca J. ; Kim Enoch ; Prentiss Mara G. ; Mrksich Milan ; Kumar Amit ; Gorman Christopher B. ; Biebuyck Hans,CHX ; Berggren Karl K., Methods of etching articles via microcontact printing.
  205. Wang Dapeng ; Hofmann James, Methods of forming semiconductor devices and methods of forming field emission displays.
  206. Maracas George N. ; Burgin Timothy P. ; Mance Thomas M., Micro-contact printing stamp.
  207. Whitesides George M. ; Xia Younan ; Wilbur James L. ; Jackman Rebecca J. ; Kim Enoch ; Prentiss Mara G. ; Mrksich Milan ; Kumar Amit ; Gorman Christopher B. ; Biebuyck Hans,CHX ; Berggren Karl K., Microcontact printing on surfaces and derivative articles.
  208. Nelson Robert J. ; Hooper Herbert H. ; Hauser Alan K. ; Singh Sharat ; Williams Stephen J. ; Sassi Alexander P., Microfluidic method for nucleic acid purification and processing.
  209. Assadi, Azar; Raj, Kannan, Microlens and photodetector.
  210. Sidman Alan L. (Wellesley MA) Fung Susan K. (Worcester MA), Microlithographic method for producing thick, vertically-walled photoresist patterns.
  211. Chou, Stephen Y.; Zhuang, Lei, Microscale patterning and articles formed thereby.
  212. Hayashi Takahisa (Kyoto JPX) Fujiwara Nariaki (Kyoto JPX), Microspectroscope.
  213. Oluseyi, Hakeem; Sarfaty, Moshe, Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy.
  214. Chiba Shinichi,JPX ; Akutsu Kotaro,JPX, Moving stage apparatus and system using the same.
  215. Matsugu Masakazu (Atsugi JPX) Saitoh Kenji (Yokohama JPX), Multi-axis wafer position detecting system using a mark having optical power.
  216. Cheng David (San Jose CA), Multi-channel plasma discharge endpoint detection method.
  217. Uekita Masakazu (Kobe JPX) Awaji Hiroshi (Kobe JPX) Mizunuma Satoshi (Kobe JPX), Multi-layer resist.
  218. Lochhead Michael J. ; Yager Paul, Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques.
  219. Chou Stephen Y., Nanoimprint lithography.
  220. Ito Toshio (Tokyo JPX) Sakata Miwa (Tokyo JPX) Yamashima Yoshio (Tokyo JPX), Negative resist material.
  221. Donges William E., No-flow flux and underfill dispensing methods.
  222. DeNatale Jeffrey D. (Thousand Oaks CA) Flintoff John F. (Thousand CA) Harker Alan B. (Thousand CA) Hood Patrick J. (Thousand CA) Robinson Gerald D. (Dayton OH), Nucleation control of diamond films by microlithographic patterning.
  223. Smith Henry I. (Sudbury MA) Modiano Alberto M. (Cambridge MA) Moon Euclid E. (Boston MA), On-axis interferometric alignment of plates using the spatial phase of interference patterns.
  224. Everett Patrick N. ; Moon Euclid Eberle ; Smith Henry I., Optical alignment apparatus having multiple parallel alignment marks.
  225. Kondo Takayuki,JPX ; Mori Katsumi,JPX ; Kaneko Takeo,JPX, Optical film thickness measurement method, film formation method, and semiconductor laser fabrication method.
  226. Moon, Euclid E.; Everett, Patrick N.; Smith, Henry I., Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction.
  227. Broude Sergey V. (Newton Centre MA) Allen Nicholas (Bedford MA) Boudour Abdu (West Newton MA) Chase Eric (Carlisle MA) Johnson Carl (Tewksbury MA) Miller Pascal (North Chelmsford MA) Ormsby Jay (Sale, Optical inspection system and method for detecting flaws on a diffractive surface.
  228. Everett Patrick N. ; Moon Euclid E. ; Smith Henry I., Optical interference alignment and gapping apparatus.
  229. Marcus Michael A. (Honeove Falls NY) Schafer Kenneth R. (Avon NY) Kestner Diane E. (Hilton NY), Optical monitor for measuring a gap between two rollers.
  230. Kopola, Harri; Rantala, Juha; Vahakangas, Jouko; Karioja, Pentti, Optoelectronic component and manufacturing method.
  231. Bareket, Noah, Overlay alignment mark design.
  232. Sezginer, Abdurrahman; Johnson, Kenneth C.; Stanke, Fred E., Overlay alignment metrology using diffraction gratings.
  233. Muller Karl Paul ; Jaiprakash Venkatachalam C. ; Gould Christopher J., Overlay measurement technique using moire patterns.
  234. Kawai Kenji,JPX, Overlay measuring method using correlation function.
  235. Seiichi Sato JP; Hitoshi Mukojima JP; Nobuyuki Iwashita JP; Nobuyuki Suefuji JP; Mitsuru Ozono JP, Paste application method for die bonding.
  236. Fontana ; Jr. Robert Edward ; Hsiao Richard ; Marinero Ernesto Esteban ; Santini Hugo Alberto Emilio ; Terris Bruce David, Patterned magnetic media and method of making the same using selective oxidation.
  237. Lin Burn J. (Scarsdale NY) Yang Bea-Jane L. (Yorktown Heights NY) Yang Jer-Mind (Yorktown Heights NY), Patterned resist and process.
  238. Nebashi, Satoshi; Nishikawa, Takao; Shimoda, Tatsuya, Patterning method, patterning apparatus, patterning template, and method for manufacturing the patterning template.
  239. Chou Stephen Y. (Golden Valley MN), Photodetector with first and second contacts.
  240. Watakabe Yaichiro (Itami JPX) Tanaka Kazuhiro (Itami JPX) Hirosue Masahiro (Itami JPX), Photomask material.
  241. Thackeray James W. (Braintree MA) Fine Stephen A. (Peabody MA), Photoresist pattern fabrication employing chemically amplified metalized material.
  242. Thackeray James W. (Braintree MA) Fine Stephen A. (Peabody MA), Photoresist pattern fabrication employing chemically amplified metalized material.
  243. Simpson, Sharon M.; Weber, Michael F.; Stacey, Nicholas A., Photothermographic and photographic elements having a transparent support having antihalation properties and properties for reducing woodgrain.
  244. Viswanath Ram S. ; Martin Philip R., Pickup chuck with an integral heatsink.
  245. Yoko Suzuki JP; Kazuo Tani JP; Satoshi Watanabe JP; Tatsuru Sato JP, Piezoelectric actuator.
  246. Marsh Eugene P., Planarization of non-planar surfaces in device fabrication.
  247. Robinson, Karl M., Planarization process.
  248. Zhao Jun (Milpitas CA) Wolff Stefan (Sunnyvale CA) Smyth Kenneth (Sunnyvale CA) Taylor ; Jr. William Nixon (Dublin CA) McNutt Gerald (Los Altos Hills CA), Plasma chamber with fixed RF matching.
  249. Imahashi Issei (Yamanashi JPX) Ishii Nobuo (Yamanashi JPX) Koshimizu Chishio (Yamanashi JPX), Plasma processing method.
  250. Gulla Michael (Millis MA) Sricharoenchaikit Prasit (Millis MA), Plasma processing with metal mask integration.
  251. Cronin John E. (Milton VT) Farrar ; Sr. Paul A. (Burlington VT) Geffken Robert M. (Burlington VT) Guthrie William H. (Essex Junction VT) Kaanta Carter W. (Colchester VT) Previti-Kelly Rosemary A. (Ri, Plural level chip masking.
  252. Gasworth, Steven M.; Peters, Mark; Dujardin, Ralf, Polycarbonate automotive window panels with coating system blocking UV and IR radiation and providing abrasion resistant surface.
  253. Stephenson, Stanley W.; Brick, Mary C., Polymer-dispersed liquid-crystal display comprising an ultraviolet blocking layer and methods for making the same.
  254. Suda Shigeyuki (Yokohama JPX) Houryu Sakae (Tokyo JPX) Nose Noriyuki (Tokyo JPX), Position detecting method and apparatus.
  255. Miyatake Tsutomu (Nihama JPX), Position detector employing a sector Fresnel zone plate.
  256. Edward G. Amatucci ; Nicholas G. Dagalakis ; Jason Marcinkoski ; Frederic E. Scire ; John A. Kramar, Positioning stage.
  257. Oku Kenichi (Toyonaka JPX) Suzuki Masaki (Hirakata JPX), Positioning table.
  258. Hartney Mark A. (Carlisle MA) Melngailis John (Newton MA) Shaver David C. (Carlisle MA), Positive resist pattern formation through focused ion beam exposure and surface barrier silylation.
  259. Frechet Jean M. J. (Ottawa CA CAX) Ito Hiroshi (San Jose CA) MacDonald Scott A. (San Jose CA) Willson Carlton G. (San Jose CA), Positive tone oxygen plasma developable photoresist.
  260. Mona M. Eissa, Post copper CMP clean.
  261. Fay Bernard (Sarotoga CA), Process and apparatus for theoptical alignment of patterns in two close-up planes in an exposure means incorporating a d.
  262. Guinn Keith V. ; McNevin Susan Clardy, Process for device fabrication in which the plasma etch is controlled by monitoring optical emission.
  263. Kornblit Avinoam ; Novembre Anthony Edward, Process for dry lithographic etching.
  264. Kanamori Jun (Tokyo JPX), Process for fabricating a semiconductor device.
  265. Cathey ; Jr. David A. (Boise ID), Process for fabricating conductors used for integrated circuit connections and the like.
  266. Napoli Louis S. (Hamilton Township ; Mercer County NJ) Russell John P. (Pennington NJ), Process for forming a lithographic mask.
  267. Hashimoto Kazuhiko (Moriguchi JPX) Nomura Noboru (Kyoto JPX), Process for forming fine pattern.
  268. Hashimoto Kazuhiko (Moriguchi JPX) Nomura Noboru (Kyoto JPX), Process for forming fine pattern.
  269. Carter Kenneth Raymond ; Dawson Daniel Joseph ; DiPietro Richard Anthony ; Hawker Craig Jon ; Hedrick James Lupton ; Miller Robert Dennis ; Yoon Do Yeung, Process for manufacture of integrated circuit device.
  270. Jongwook Kye, Process for observing overlay errors on lithographic masks.
  271. Richard Alden DeFelice ; Judith Prybyla, Process for planarization a semiconductor substrate.
  272. Iizuka Katsuhiko (Kawasaki JPX), Process for preparation of semiconductor device.
  273. Park Sun Woo, Process for transferring microminiature patterns using spin-on glass resist media.
  274. Shirley Paul, Process liquid dispense method and apparatus.
  275. Jokerst Nan M. (Atlanta GA) Brooke Martin A. (Atlanta GA) Allen Mark G. (Atlanta GA), Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits.
  276. Nicol, Gorham, Programmable beverage dispensing apparatus.
  277. Progler Christopher J. (Hopewell Junction NY), Promixity alignment using polarized illumination and double conjugate projection lens.
  278. Desai Bhupendrarai C. (North Wales PA) Doyle John (Westchester PA) Giuglianotti Michael J. (Telford PA), Protective member for display system having 99% UV light blocking ability and improved thermal coefficient of expansion.
  279. Suzuki Shinji,JPX, Proximity exposure device with distance adjustment device.
  280. Domenicali Peter L. (Montpelier VT), Proximity mask alignment using a stored video image.
  281. Chou Stephen Y. (Stanford CA), Quantum tunneling cantilever accelerometer.
  282. Ito, Hiroshi; MacDonald, Scott A.; Miller, Robert D.; Willson, Carlton G., Radiation sensitive and oxygen plasma developable resist.
  283. Chalmers Scott A., Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample.
  284. Bae Young C. (Pleasanton CA) Soane David S. (Piedmont CA) Crocker Charles (San Francisco CA), Rapid prototype three dimensional stereolithography.
  285. Buermann Dale ; Forouhi Abdul Rahim ; Mandella Michael J., Reflectance spectroscopic apparatus with toroidal mirrors.
  286. Chou Stephen Y., Release surfaces, particularly for use in nanoimprint lithography.
  287. Watson Paul C. (Assembly Associates ; P.O. Box 45 Arlington Heights MA 02175), Remote axis admittance system.
  288. Watson ; Paul C., Remote center compliance system.
  289. Mullen, Patrick W.; Phillips, Edward D., Retroreflective film product.
  290. Toprac Anthony John ; Downey Douglas John ; Gupta Subhash, Run-to-run control process for controlling critical dimensions.
  291. Feldman Martin (Baton Rouge LA), Scanning systems for high resolution e-beam and X-ray lithography.
  292. Yelehanka Ramachandramurthy Pradeep SG; Chivukula Subrahmanyam SG; Vijai Kumar Chhagan SG; Henry Gerung SG, Self aligned T-top gate process integration.
  293. Trapp, Shane J.; Donohoe, Kevin G., Self-aligned PECVD etch mask.
  294. Ibok Effiong, Self-aligned damascene gate formation with low gate resistance.
  295. Wallace Robert M. (Dallas TX) Webb Douglas A. (Chandler AZ) Gnade Bruce E. (Dallas TX), Self-assembled monolayer coating for micro-mechanical devices.
  296. Clem, Paul G.; Jeon, Noo-Li; Mrksich, Milan; Nuzzo, Ralph G.; Payne, David A.; Whitesides, George M.; Xia, Younan, Self-assembled monolayer directed patterning of surfaces.
  297. Yen Daniel L. (Chu-Tung TWX), Semiconductor planarization process for submicron devices.
  298. Chung Wen-Jye (Shuang-Shi TWX) Lee Chu-Mei (Chu-Dung TWX), Semiconductor wafer incorporating marks for inspecting first layer overlay shift in global alignment process.
  299. Blume, Friedrich; Voigtmann, Lutz, Shear foil having protrusions on its skin-contacting surface thereof.
  300. Sachdev Krishna G. (Wappingers Falls NY) Kwong Ranee W. (Wappingers Falls NY) Gupta Mani R. (Wappingers Falls NY) Chace Mark S. (Poughkeepsie NY) Sachdev Harbans S. (Wappingers Falls NY), Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings.
  301. Fujiyama, Takeshi; Teramoto, Takero, Silicone resin and photosensitive resin composition containing the same.
  302. De Fazio Thomas L. (Watertown MA), Single stage remote center compliance device.
  303. Chou Stephen Y. ; Guo Lingjie ; Leobandung Effendi, Single-electron floating-gate MOS memory.
  304. Ashmore ; Jr. Benjamin H. (Houston TX), Single-level poly programmable bit circuit.
  305. Peevers Alan, Sound modification employing spectral warping techniques.
  306. Sakawaki Shinichi (Asaka JPX), Spin coating process.
  307. Chen Kuang-Chao (Taipei TWX) Hsia Shaw-Tzeng (Taipei TWX), Spin-on-glass integration planarization having siloxane partial etchback and silicate processes.
  308. Hiraoka Hiroyuki (Saratoga CA), Spray silylation of photoresist images.
  309. Carlton Grant Willson ; Matthew Earl Colburn, Step and flash imprint lithography.
  310. Willson, Carlton Grant; Colburn, Matthew Earl, Step and flash imprint lithography.
  311. Bloomstein, Theodore M.; Kunz, Roderick R.; Palmacci, Stephen T., Stereolithographic patterning by variable dose light delivery.
  312. Bloomstein, Theodore M.; Kunz, Roderick R.; Palmacci, Stephen T., Stereolithographic patterning with interlayer surface modifications.
  313. Huang, Ying; Ping, Er-Xuan, Structure to reduce line-line capacitance with low K material.
  314. Masuyuki Takashi,JPX ; Ishimaru Katsuaki,JPX, Substrate adjuster, substrate holder and substrate holding method.
  315. Davis Shawn D., Substrate coating apparatus.
  316. Nikoonahad Mehrdad ; Wayman Charles E., Surface inspection system with misregistration error correction and adaptive illumination.
  317. Maldonado Juan R. (Chappaqua NY), System for magnification correction of conductive X-ray lithography mask substrates.
  318. Baker Walter J. ; Gargiulo Joseph L. ; Heiden Richard W., System for smart card funds refill.
  319. Calhoun Clyde D. ; Delgado Joaquin, Temperature-responsive adhesive article.
  320. Bailey, Todd; Choi, Byung J.; Colburn, Matthew; Sreenivasan, S. V.; Willson, C. Grant; Ekerdt, John, Template for room temperature, low pressure micro-and nano-imprint lithography.
  321. Debe, Mark K.; Wolk, Martin B., Thermal transfer of microstructured layers.
  322. Aspnes David E. ; Opsal Jon ; Fanton Jeffrey T., Thin film optical measurement system and method with calibrating ellipsometer.
  323. Hirose, Takenori; Noguchi, Minori; Kenbo, Yukio; Maeda, Shunji; Ninomiya, Takanori; Tsuchiyama, Hirofumi, Thin film thickness measuring method and apparatus, and method and apparatus for manufacturing a thin film device using the same.
  324. Okubora Akihiko (Kanagawa JPX) Takano Chiaki (Kanagawa JPX) Tanaka Kiyoshi (Kanagawa JPX) Ishikawa Hideto (Kanagawa JPX), Three-dimensional optical-electronic integrated circuit device with raised sections.
  325. Carter Robert E. (Arlington MA), Torsional vibration drive.
  326. Ledger Anthony (Newfairfield CT) Power Michael (Newtown CT), Transparent optical chuck incorporating optical monitoring.
  327. Garza Cesar M. (Plano TX) Douglas Monte A. (Coppell TX) Johnson Roland (Sachse TX), Trilayer microlithographic process using a silicon-based resist as the middle layer.
  328. Page, Joseph E., Two step chemical mechanical polishing process.
  329. Ko Jun-Cheng,TWX ; Jeng Erik S.,TWX, Two step plasma etch method for forming self aligned contact.
  330. Fogel, Keith E.; Norcott, Maurice H.; Sadana, Devendra K., Ultimate SIMOX.
  331. Wensel Richard W., Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device.
  332. Plat, Marina V.; Bell, Scott A.; Lyons, Christopher F.; Subramanian, Ramkumar; Singh, Bhanwar, Use of silicon containing imaging layer to define sub-resolution gate structures.
  333. Holmes Steven J. (Burlington VT), Vapor phase photoresist silylation process.
  334. DiMilia Vincent (Carmel NY) Warlaumont John M. (Chappaqua NY), Variable magnification mask for X-ray lithography.
  335. Feldman Martin (Berkeley Heights NJ), Wafer chuck comprising a curved reference surface.
  336. Mogadam Masoud M. ; Pracher Timothy J., Wireless liquid portion and inventory control system.
  337. Hosono Kunihiro (Itami JPX), X-ray mask and exposure method employing the same.
  338. Kondo Takuo,JPX ; Fujisawa Shouji,JPX ; Kitade Norimitsu,JPX, XY table using a linear electromagnetic actuator.
  339. Takei Seiji (Yokohama JPX), XY-positioning table.

이 특허를 인용한 특허 (15)

  1. Fletcher, Edward Brian; Schmid, Gerard M.; Im, Se-Hyuk; Khusnatdinov, Niyaz; Srinivasan, Yeshwanth; Liu, Weijun; Xu, Frank Y., Drop pattern generation for imprint lithography with directionally-patterned templates.
  2. Resnick, Douglas J.; Miller, Michael N.; Xu, Frank Y., Fabrication of seamless large area master templates for imprint lithography using step and repeat tools.
  3. Willson, Carlton Grant; Ogawa, Tsuyoshi; Lin, Michael W.; Hellebusch, Daniel J.; Jacobsson, B. Michael; Bell, William K., Fluorinated silazane release agents in nanoimprint lithography.
  4. Choi, Byung-Jin; Choi, Yeong-Jun; Selinidis, Kosta S.; Shackleton, Steven C., Imprint lithography template.
  5. Haase, Gaddi S.; Selinidis, Kosta S.; Ye, Zhengmao, Imprint lithography template and method for zero-gap imprinting.
  6. Liu, Weijun; Stachowiak, Timothy Brian; DeYoung, James P.; Khusnatdinov, Niyaz, Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography.
  7. Meissl, Mario Johannes; Cherala, Anshuman; Choi, Byung-Jin; Bamesberger, Seth J., Low contact imprint lithography template chuck system for improved overlay correction.
  8. Khusnatdinov, Niyaz; LaBrake, Dwayne L., Method of reverse tone patterning.
  9. Ye, Zhengmao; Khusnatdinov, Niyaz; Fletcher, Edward Brian, Methods for controlling spread of imprint material.
  10. Ye, Zhengmao; LaBrake, Dwayne L., Methods for uniform imprint pattern transfer of sub-20 nm features.
  11. Ahn, Se Hyun; Choi, Byung-Jin; Xu, Frank Y., Nano imprinting with reusable polymer template with metallic or oxide coating.
  12. Ahn, Se Hyun; Choi, Byung-Jin; Xu, Frank Y., Nano imprinting with reusable polymer template with metallic or oxide coating.
  13. Wan, Fen; Liu, Weijun; Stachowiak, Timothy Brian, Nanoimprint lithography adhesion layer.
  14. Stachowiak, Timothy Brian; Liu, Weijun; Khusnatdinov, Niyaz; Ye, Zhengmao; Ito, Toshiki, Removing substrate pretreatment compositions in nanoimprint lithography.
  15. Khusnatdinov, Niyaz, System and method for discharging electrostatic charge in nanoimprint lithography processes.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로