$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Cyclical epitaxial deposition and etch 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/20
출원번호 US-0620488 (2009-11-17)
등록번호 US-8367528 (2013-02-05)
발명자 / 주소
  • Bauer, Matthias
  • Thomas, Shawn G.
출원인 / 주소
  • ASM America, Inc.
인용정보 피인용 횟수 : 102  인용 특허 : 233

초록

Methods for selectively depositing high quality epitaxial material include introducing pulses of a silicon-source containing vapor while maintaining a continuous etchant flow. Epitaxial material is deposited on areas of a substrate, such as source and drain recesses. Between pulses, the etchant flow

대표청구항

1. A method for selectively forming silicon-containing material in a recess, comprising: providing a substrate, the substrate including a recess and insulating surfaces;introducing a pulse of a silicon-containing source vapor to deposit silicon-containing material in the recess;introducing a continu

이 특허에 인용된 특허 (233)

  1. Reisman Arnold (Raleigh) Temple Dorota (Raleigh NC), Alternating cyclic pressure modulation process for selective area deposition.
  2. Granneman, Ernst H. A., Apparatus and method for atomic layer deposition on substrates.
  3. Conger Darrell R. (Portland OR) Posa John G. (Lake Oswego OR) Wickenden Dennis K. (Lake Oswego OR), Apparatus for depositing material on a substrate.
  4. Hansen Keith J. (San Jose CA), Apparatus for performing in-situ etch of CVD chamber.
  5. Yongjun Jeff Hu, Asymmetric, double-sided self-aligned silicide.
  6. Hu Yongjun Jeff, Asymmetric, double-sided self-aligned silicide and method of forming the same.
  7. Hu, Yongjun Jeff, Asymmetric, double-sided self-aligned silicide and method of forming the same.
  8. Gates Stephen McConnell ; Neumayer Deborah Ann, Atomic layer deposition with nitrate containing precursors.
  9. Sato Fumihiko,JPX, Bipolar transistor having an emitter region formed of silicon carbide.
  10. Delage Sylvain,FRX ; Cassette Simone,FRX ; Henkel Achim,FRX ; Salzenstein Patrice,FRX, Bipolar transistor stabilized with electrical insulating elements.
  11. Bajor George S. (Melbourne FL), Bipolar transistor with high efficient emitter.
  12. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  13. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  14. Fitzgerald, Eugene A., Buried channel strained silicon FET using a supply layer created through ion implantation.
  15. Tzeng J. C. (Sunnyvale CA), Buried interconnect for silicon on insulator structure.
  16. Ui Akio,JPX ; Kaji Naruhiko,JPX ; Miyajima Hideshi,JPX ; Hayasaka Nobuo,JPX, CVD apparatus with high throughput and cleaning method therefor.
  17. Sang-Gi Ko KR, Capacitor and method of fabricating the same.
  18. Candelaria Jon J. (Tempe AZ), Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method.
  19. Nagashima Makoto (Machida JPX) Kobayashi Naoaki (Sakura CA JPX) Wong Jerry (Fremont CA), Cleaning method for semiconductor wafer processing apparatus.
  20. Fujiyama Yasutomo,JPX ; Kumomi Hideya,JPX, Cleaning methods of porous surface and semiconductor surface.
  21. Kweon Ki-young (Seoul KRX), Compound semiconductor device.
  22. Edelstein Daniel Charles ; Harper James McKell Edwin ; Hu Chao-Kun ; Simon Andrew H. ; Uzoh Cyprian Emeka, Copper interconnection structure incorporating a metal seed layer.
  23. Todd,Michael A., Deposition of amorphous silicon-containing films.
  24. Todd, Michael A., Deposition over mixed substrates.
  25. Takasu Katsuji,JPX ; Tsuda Hisanori,JPX ; Sano Masafumi,JPX ; Hirai Yutaka,JPX, Device for forming deposited film.
  26. Curello,Giuseppe; Sell,Bernhard; Tyagi,Sunit; Auth,Chris, Device with stepped source/drain region profile.
  27. Das Kalyankumar (Raleigh NC), Diamond and silicon carbide heterojunction bipolar transistor.
  28. Roger Leung ; Denis Endisch ; Songyuan Xie ; Nigel Hacker ; Yanpei Deng, Dielectric films for narrow gap-fill applications.
  29. Todd, Michael A., Dopant precursors and ion implantation processes.
  30. Lee Ellis,TWX, Dual damascene structure and its manufacturing method.
  31. Sato Fumihiko (Tokyo JPX) Tashiro Tsutomu (Tokyo JPX), Dual layer epitaxtial base heterojunction bipolar transistor.
  32. Cheffings David F. (Boise ID), Electrically conductive substrate interconnect continuity region and method of forming same with an angled implant.
  33. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with composite atomic barrier film and process for making same.
  34. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with rubidium barrier film and process for making same.
  35. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Electronic devices with strontium barrier film and process for making same.
  36. Arena,Chantal J.; Italiano,Joe P.; Brabant,Paul D., Enhanced selectivity for epitaxial deposition.
  37. Umeno,Shigeru; Murakami,Satoshi; Fujii,Hirotaka, Epitaxial wafer and method for manufacturing method.
  38. Wang Chein-Cheng,TWX ; Chang Shih-Chanh,TWX, Fabricating method of glue layer and barrier layer.
  39. Lu Nicky C. (Yorktown Heights NY) Machesney Brian J. (Burlington VT), Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures.
  40. Shishiguchi Seiichi,JPX ; Yasunaga Tomoko,JPX, Fabrication method of semiconductor device using selective epitaxial growth.
  41. Robert F. McLoughlin ; George L. Gonnella ; Timothy J. King, Flow controller.
  42. Hafizi Madjid, Fully self-aligned submicron heterojunction bipolar transistor.
  43. Tadatomo Kazuyuki,JPX ; Okagawa Hiroaki,JPX ; Ohuchi Youichiro,JPX ; Miyashita Keiji,JPX ; Hiramatsu Kazumasa,JPX ; Sawaki Nobuhiko,JPX ; Yahashi Katsunori,JPX ; Shibata Takumi,JPX, GAN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof.
  44. Seamons Martin ; Ching Cary ; Imaoka Kou,JPX ; Sato Tatsuya,JPX ; Ravi Tirunelveli S. ; Triplett Michael C., Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus.
  45. Blackwood Robert S. (Lubbock TX) Biggerstaff Rex L. (Lubbock TX) Clements L. Davis (Lincoln NE) Cleavelin C. Rinn (Lubbock TX), Gaseous process and apparatus for removing films from substrates.
  46. Fitzgerald, Eugene A.; Hammond, Richard; Currie, Matthew, Gate technology for strained surface channel and strained buried channel MOSFET devices.
  47. Boydston Mark R. ; Dietze Gerald R. ; Kononchuk Oleg V., Growth of epitaxial semiconductor material with improved crystallographic properties.
  48. Carter Lawrence E. ; Schwab Brent ; Fayfield Robert T., HF/IPA based process for removing undesired oxides form a substrate.
  49. Hashimoto Takasuke (Tokyo JPX), Hetero-junction type bipolar transistor.
  50. Nakano Hirofumi (Tokyo JPX), Heterojunction bipolar transistor.
  51. Matsuoka Yutaka (Kanagawa JPX) Sano Eiichi (Kanagawa JPX) Kurishima Kenji (Kanagawa JPX) Nakajima Hiroki (Kanagawa JPX) Ishibashi Tadao (Kanagawa JPX), Heterojunction bipolar transistor and integrated circuit device using the same.
  52. Nii Keita (Kyoto JPX), Heterojunction bipolar transistor and method for producing the same.
  53. Yang Edward S. ; Yang Yue-Fei, Heterojunction bipolar transistor having heterostructure ballasting emitter.
  54. Twynam John K. (Tenri JPX) Kishimoto Katsuhiko (Nara JPX) Kinosada Toshiaki (Izumi JPX), Heterojunction bipolar transistor having low electron and hole concentrations in the emitter-base junction region.
  55. Wen Cheng P. (Mission Viejo CA) Wu Chan S. (Torrance CA) Chu Peter (Hawthorne CA), Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same.
  56. Morcom William R. (Melbourne Beach FL) Nicolay Hugh C. (Melbourne Village FL) Cox Eugene R. (Palm Bay FL), I2L Integrated circuit and process of fabrication.
  57. Meunier Paul L. (Orsay FRX) Razeghi Manijeh (Orsay FRX), III-V Compound heteroepitaxial 3-D semiconductor structures utilizing superlattices.
  58. Ku Tzu-Kun,TWX, Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer.
  59. Changming Jin ; Kelly J. Taylor ; Wei William Lee, Integrated circuit dielectric and method.
  60. Hoinkis Mark D., Integrated circuits with copper metallization for interconnections.
  61. Pomarede,Christophe F.; Givens,Michael E.; Shero,Eric J.; Todd,Michael A., Integration of high k gate dielectric.
  62. Naoki Komai JP; Shingo Kadomura JP; Mitsuru Taguchi JP; Akira Yoshio JP; Takaaki Miyamoto JP, Interconnection structure and fabrication process therefor.
  63. Blackstone Scott C. (Needham MA), Inverted epitaxial process.
  64. Blackstone Scott C. (Needham MA), Inverted epitaxial process semiconductor devices.
  65. Shealy Jeffrey B. ; Matloubian Mehran, Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication.
  66. Huang Richard J. (Milpitas CA) Cheung Robin W. (Cupertino CA) Rakkhit Rajat (Milpitas CA) Lee Raymond T. (Sunnyvale CA), Landing pad technology doubled up as a local interconnect and borderless contact for deep sub-half micrometer IC applica.
  67. Kovacic Stephen J. (Kanata CAX), Lateral bipolar transistor.
  68. Nguyen Tue ; Hsu Sheng Teng, Low resistance contact between integrated circuit metal levels and method for same.
  69. Prall Kirk D. (Boise ID) Sandhu Gurtej S. (Boise ID) Meikle Scott G. (Boise ID), Low resistance device element and interconnection structure.
  70. Carlson David K. (Santa Clara CA) Hey H. Peter W. (San Jose CA) Hann James C. (Santa Clara CA), Low temperature etching in cold-wall CVD systems.
  71. Brabant,Paul D.; Italiano,Joe P.; Wen,Jianqing, Low temperature load and bake.
  72. Moslehi Mehrdad M. (Dallas TX), Low-temperature in-situ dry cleaning process for semiconductor wafer.
  73. Urabe Koji,JPX, Manufacturing method for contact hole.
  74. Lindert,Nick; Brask,Justin K.; Westmeyer,Andrew, Metal gate transistors with epitaxial source and drain regions.
  75. Iacoponi John A. ; Paton Eric N., Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient.
  76. Posa John G. (Lake Oswego OR), Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition.
  77. Granneman Ernst Hendrik August,NLX ; Huussen Frank,NLX, Method and apparatus for supporting a semiconductor wafer during processing.
  78. Suntola Tuomo,FIX ; Lindfors Sven,FIX ; Soininen Pekka,FIX, Method and equipment for growing thin films.
  79. Scott J. Deboer ; Vishnu K. Agarwal, Method and structure for reducing contact aspect ratios.
  80. Chong,Yung Fu; Greene,Brian Joseph, Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor.
  81. Lur, Water; Lee, David; Wang, Kuang-Chih, Method and system for making cobalt silicide.
  82. Alessandra Satta BE; Karen Maex BE; Kai-Erik Elers FI; Ville Antero Saanila FI; Pekka Juha Soininen FI; Suvi P. Haukka FI, Method for bottomless deposition of barrier layers in integrated circuit metallization schemes.
  83. Kelly Michael A. (121 Erica Way Portola Valley CA 94028), Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its.
  84. Ward Steven D. (Phoenix AZ) Avona Paul V. (Phoenix AZ), Method for cleaning a process chamber.
  85. Suemitsu, Maki; Nakazawa, Hideki, Method for fabricating a SiC film and a method for fabricating a SiC multi-layered film structure.
  86. Kodama Noriyuki,JPX, Method for fabricating a field effect transistor having elevated source/drain regions.
  87. Diaz, Carlos H.; Sheu, Yi-Ming; Jang, Syun-Ming; Tao, Hun-Jan; Yang, Fu-Liang, Method for fabricating a recessed channel field effect transistor (FET) device.
  88. Yue Jerry (Roseville MN) Liu Michael S. T. (Bloomington MN), Method for fabricating self-aligned semiconductor devices.
  89. Matsubara Yoshihisa,JPX, Method for forming a refractory metal silicide layer.
  90. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Kim Young-sun,KRX, Method for forming dielectric film of capacitor having different thicknesses partly.
  91. Jastrzebski Lubomir L. (Plainsboro NJ) Johansson Ronald J. (Lawrenceville NJ) Sauer Donald J. (Allentown NJ), Method for forming dielectrically isolated transistor.
  92. Rhodes Howard E. (Boise ID) Fazan Pierre C. (Boise ID) Chan Hiang C. (Boise ID) Dennison Charles H. (Boise ID) Liu Yauh-Ching (Boise ID), Method for forming low resistance DRAM digit-line.
  93. Corboy ; Jr. John F. (Ringoes NJ) Jastrzebski Lubomir L. (Plainsboro NJ) Blackstone Scott C. (Hopewell NJ) Pagliaro ; Jr. Robert H. (Trenton NJ), Method for growing monocrystalline silicon through mask layer.
  94. Shoji Akiyama JP; Norihiro Kobayashi JP, Method for heat-treating silicon wafer and silicon wafer.
  95. Neuenfeldt Steven (Vernon Hills IL) Brauker James (Lake Villa IL) Clarke Robert (Libertyville IL) Carr-Brendel Victoria (Woodstock IL), Method for implanting tissue in a host.
  96. Liaw Hang M. (Scottsdale AZ) Nguyen Ha T.-T. (Mesa AZ), Method for improved surface planarity in selective epitaxial silicon.
  97. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Method for improving step coverage of a metallization layer on an integrated circuit by use of a high melting point meta.
  98. Hey H. Peter W ; Carlson David, Method for in-situ cleaning of native oxide from silicon surfaces.
  99. Jastrzebski Lubomir L. (Plainsboro NJ), Method for making a silicon-on-insulator substrate.
  100. Ryum Byung-Ryul (Daejeon KRX) Han Tae-Hyeon (Daejeon KRX) Lee Soo-Min (Daejeon KRX) Cho Deok-Ho (Daejeon KRX) Lee Seong-Hearn (Daejeon KRX) Kang Jin-Young (Daejeon KRX), Method for making bipolar transistor having an enhanced trench isolation.
  101. Bin Yu, Method for making raised source/drain regions using laser.
  102. Sato Fumihiko,JPX, Method for making self-aligned bipolar transistor.
  103. Dai Chang-Ming (Hsinchu TWX), Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer.
  104. Choi Do-chan (Seoul KRX) Kim Kyung-tae (Seoul KRX), Method for manufacturing a DRAM using selective epitaxial growth on a contact.
  105. Kim Sung-tae (Seoul KRX) Kim Kyung-hoon (Seoul KRX) Ko Jae-hong (Seoul KRX), Method for manufacturing a semiconductor device having increased surface area conductive layer.
  106. Choi Do-chan (Seoul KRX) Kim Kyung-tae (Seoul KRX), Method for manufacturing a stacked capacitor DRAM semiconductor device.
  107. Haruo Sunakawa JP; Akira Usui JP, Method for manufacturing group III-V compound semiconductors.
  108. Sunakawa, Haruo; Usui, Akira, Method for manufacturing group III-V compound semiconductors.
  109. Mogami Tohru (Tokyo JPX), Method for manufacturing salicide semiconductor device.
  110. Kim Yeong-kwan,KRX ; Lee Sang-in,KRX ; Park Chang-soo,KRX ; Lee Sang-min,KRX, Method for manufacturing thin film using atomic layer deposition.
  111. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Method for performing growth of compound thin films.
  112. Itoh Hiromi (Hyogo JPX) Iwasaki Masanobu (Hyogo JPX) Tokui Akira (Hyogo JPX) Tsukamoto Katsuhiro (Hyogo JPX), Method for pretreating semiconductor substrate by photochemically removing native oxide.
  113. Yonehara Takao (c/o Canon Kabushiki Kaisha ; 30-2 ; 3-chome Atsugi JPX) Ozaki Masaharu (c/o Canon Kabushiki Kaisha ; 30-2 ; 3-chome Shimomaruko ; Ohta-ku ; Tokyo JPX), Method for producing a three-dimensional semiconductor device.
  114. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  115. Critchlow Dale L. (Burlington VT) DeBrosse John K. (Essex Junction VT) Mohler Rick L. (Williston VT) Noble ; Jr. Wendell P. (Milton VT) Parries Paul C. (Essex Junction VT), Method for providing silicide bridge contact between silicon regions separated by a thin dielectric.
  116. Reisman Arnold (Raleigh NC) Jones Gary W. (Durham NC), Method for selectively depositing material on substrates.
  117. Reisman Arnold (Raleigh NC), Method for selectively depositing single elemental semiconductor material on substrates.
  118. Wei Che C. (Plano TX) Zaccherini Chiara (Milan TX ITX) Miller Robert O. (The Colony TX) Dixit Girish A. (Dallas TX), Method for self-aligned polysilicon contact formation.
  119. Sandhu Gurtej S. (Boise ID) Doan Trung T. (Boise ID) Yu Chang (Boise ID), Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer.
  120. Schafer Herbert,DEX ; Franosch Martin,DEX ; Stengl Reinhard,DEX ; Reisinger Hans,DEX ; Ilg Matthias, Method for the fabrication of a doped silicon layer.
  121. Pribat Daniel (Sevres FRX) Gerard Bruno (Chelles FRX) Legagneux Pierre (Le Mesnil St Denis FRX), Method for the growing of heteroepitaxial layers.
  122. Kang Sang-Bom,KRX ; Lee Sang-In,KRX, Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device.
  123. Trah Hans-Peter (Reutlingen DEX) Findler Guenther (Stuttgart DEX), Method of anisotropically etching monocrystalline, disk-shaped wafers.
  124. Comita Paul B. ; Forstner Hali J. L. ; Ranganathan Rekha, Method of cleaning CVD cold-wall chamber and exhaust lines.
  125. Niino Reiji (Kofu JPX) Fujita Yoshiyuki (Kofu JPX) Lee Hideki (Nirasaki JPX) Imamura Yasuo (Yokohama JPX) Nishimura Toshiharu (Kofu JPX) Mikata Yuuichi (Kawasaki JPX) Miyazaki Shinji (Yokkaichi JPX) , Method of cleaning reaction tube.
  126. Sergey D. Lopatin ; Carl Galewski ; Takeshi T. N. Nogami JP, Method of copper interconnect formation using atomic layer copper deposition.
  127. Raaijmakers Ivo ; Pomarede Christopher Fran.cedilla.ois Lilian,FRX ; van der Jengd Cornelius Alexander ; Gschwandtner Alexander,DEX ; Grassl Andreas,DEX, Method of depositing silicon with high step coverage.
  128. Corboy ; Jr. John F. (East Amwell Township ; Hunterdon County NJ) Pagliaro ; Jr. Robert H. (Ewing Township ; Mercer County NJ) Jastrzebski Lubomir L. (Plainsboro NJ) Soydan Ramazan (Berkley Township , Method of depositing uniformly thick selective epitaxial silicon.
  129. Chiu Kuang-Yi (Los Altos Hills CA), Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device.
  130. Jeng Jason (Pingtung TWX) Liang Chia-Wen (Hsinchu Hsien TWX), Method of fabricating a self-aligned contact.
  131. Jing-Cheng Lin TW; Shau-Lin Shue TW; Chen-Hua Yu TW, Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process.
  132. Oguro Shizuo,JPX, Method of facet free selective silicon epitaxy.
  133. Liu Chung-Shi,TWX ; Yu Chen-Hua,TWX, Method of forming a smooth copper seed layer for a copper damascene structure.
  134. Cho Chih-Chen ; Park Kyung-Ho, Method of forming an electrical contact in a substrate.
  135. Burghartz Joachim N. (Croton-on-Hudson NY) Ginsberg Barry J. (Yorktown Heights NY) Mader Siegfried (Croton-on-Hudson NY), Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy.
  136. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  137. Chan Tsiu Chiu ; Smith Gregory C., Method of forming raised source/drain regions in an integrated circuit.
  138. Kiyoku Hiroyuki,JPX ; Nakamura Shuji,JPX ; Kozaki Tokuya,JPX ; Iwasa Naruhito,JPX ; Chocho Kazuyuki,JPX, Method of growing nitride semiconductors, nitride semiconductor substrate and nitride semiconductor device.
  139. Komatsu Shigeru (Kitakyushu JPX), Method of making a bipolar semiconductor device.
  140. Zhao Bin ; Vasudev Prahalad K. ; Horwath Ronald S. ; Seidel Thomas E. ; Zeitzoff Peter M., Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer.
  141. Prall Kirk ; Pan Pai-Hung ; Sharan Sujit, Method of making a field effect transistor having an elevated source and an elevated drain.
  142. Raaijmakers, Ivo; Haukka, Suvi P.; Saanila, Ville A.; Soininen, Pekka J.; Elers, Kai-Erik; Granneman, Ernst H. A., Method of making conformal lining layers for damascene metallization.
  143. Beyer Klaus D. (Poughkeepsie NY) Hsu Louis L. (Fishkill NY) Schepis Dominic J. (Wappingers Falls NY) Silvestri Victor J. (Hopewell Junction NY), Method of making defect free silicon islands using SEG.
  144. Riseman Jacob (Poughkeepsie NY), Method of making integrated circuits using metal silicide contacts.
  145. McLaughlin Kevin L. (Chandler AZ) Bushey Thomas P. (Phoenix AZ), Method of making poly-sidewall contact transistors.
  146. Huster, Carl R.; An, Judy; Rouse, Richard P., Method of making recessed source drains to reduce fringing capacitance.
  147. Kim Sung-tae (Seoul KRX) Lee Hyeung-gyu (Seoul KRX) Ko Jae-hong (Seoul KRX), Method of making semiconductor device having a capacitor of large capacitance.
  148. Smeltzer Ronald K. (Princeton NJ), Method of making silicon-on-insulator islands.
  149. Burns Gordon P. (Southampton GB2), Method of manufacturing a semiconductor device.
  150. Jung Ho Lee KR; Seung Chul Lee KR, Method of manufacturing a semiconductor device.
  151. Kohyama Yusuke (Yokosuka JPX), Method of manufacturing a semiconductor device.
  152. Zijlstra Piebe A. (Nijmegen NLX), Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the re.
  153. Hokazono, Akira, Method of manufacturing a semiconductor device with an L-shaped/reversed L-shaped gate side-wall insulating film.
  154. Ipposhi Takashi (Hyogo JPX) Sugahara Kozuyuki (Hyogo JPX), Method of producing SOI structures.
  155. Golecki Ilan (Parsippany NJ), Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degree.
  156. Li Li ; Westmoreland Donald L. ; Hawthorne ; deceased Richard C. ; Torek Kevin, Method of wafer cleaning, and system and cleaning solution regarding same.
  157. Thakur Randhir P. S. ; Breiner Lyle D., Method to form hemi-spherical grain (HSG) silicon.
  158. Wang Fei ; Lyons Christopher F. ; Nguyen Khanh B. ; Bell Scott A. ; Levinson Harry J. ; Yang Chih Yuh, Method using a thin resist mask for dual damascene stop layer etch.
  159. Doan Trung T. (Boise) Sandhu Gurtej S. (Boise ID), Methods for inhibiting outgrowth of silicide in self-aligned silicide process.
  160. Violette Michael P. ; Tang Sanh ; Smith Daniel M., Methods for use in formation of titanium nitride interconnects and interconnects formed using same.
  161. Bauer, Matthias, Methods of depositing electrically active doped crystalline Si-containing films.
  162. Martin Ceredig Roberts ; Kunal R. Parekh, Methods of electrically contacting to conductive plugs, methods of forming contact openings, and methods of forming dynamic random access memory circuitry.
  163. Granneman,Ernst H. A.; Kuznetsov,Vladimir; Pages,Xavier; van der Jeugd,Cornelius A., Methods of forming silicide films in semiconductor devices.
  164. Bauer,Matthias; Weeks,Keith Doran; Tomasini,Pierre; Cody,Nyles, Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition.
  165. Bantien Frank (Ditzingen DEX), Micromechanical tilt sensor.
  166. Blackstone Scott C. (Needham MA) Hower Philip L. (Concord MA) Roughan Elizabeth M. (Newton MA) Doucette Christopher H. (Clinton MA) Lee Roy (Andover MA) Cotnam Carolyn Q. (Waltham MA), New diode structure.
  167. Vincent, Jean Louise; O'Neill, Mark Leonard; Withers, Jr., Howard Paul; Beck, Scott Edward; Vrtis, Raymond Nicholas, Organosilicon precursors for interlayer dielectric films with low dielectric constants.
  168. Logar Roger E. (San Jose CA), Particulate-free epitaxial process.
  169. Cheung David ; Yau Wai-Fan ; Mandal Robert P. ; Jeng Shin-Puu ; Liu Kuo-Wei ; Lu Yung-Cheng ; Barnes Michael ; Willecke Ralf B. ; Moghadam Farhad ; Ishikawa Tetsuya ; Poon Tze Wing, Plasma processes for depositing low dielectric constant films.
  170. Rafferty Kevin ; Rowe Bruce, Plural layered metal repair tape.
  171. Xi Ming ; Nishina Kazuhiro,JPX ; Chen Steve ; Fujita Toshiaki,JPX, Process for chlorine trifluoride chamber cleaning.
  172. Todd, Michael A., Process for deposition of semiconductor films.
  173. Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  174. Bonis Maurice (Crolles FRX), Process for fabricating an integrated circuit using local silicide interconnection lines.
  175. Feygenson Anatoly (Exeter Township ; Berks County PA) Huang Chang-Kuei (Wyomissing Hill Borough PA), Process for fabricating low defect polysilicon.
  176. Hirai Yutaka (Hikone JPX) Matsuyama Jinsho (Nagahama JPX) Shirai Shigeru (Nagahama JPX), Process for forming and etching a film to effect specific crystal growth from activated species.
  177. Stumborg Michael F. ; Santiago Francisco ; Chu Tak Kin ; Boulais Kevin A., Process for making a semiconductor device with barrier film formation using a metal halide and products thereof.
  178. Nishida Shoji (Fujisawa JPX) Yamagata Kenji (Kawasaki JPX), Process for producing a thin silicon solar cell.
  179. Mizutani Hidemasa (Sagamihara JPX), Process for producing crystal article.
  180. Mizutani Hidemasa (Sagamihara JPX), Process for producing crystal article.
  181. Wuu Shou-Gwo (Chu-Gong Hsinchu TWX) Liang Mong-Song (Hsin-chu TWX) Wang Chuan-Jung (Chu-Tong TWX) Su Chung-Hui (Hsinchu TWX), Process of making a polysilicon barrier layer in a self-aligned contact module.
  182. Ivo Raaijmakers NL; Pekka T. Soininen FI; Ernst H. A. Granneman NL; Suvi P. Haukka FI, Protective layers prior to alternating layer deposition.
  183. Sneh Ofer, Radical-assisted sequential CVD.
  184. Cheung David (Foster City CA) Keswick Peter (Newark CA) Wong Jerry (Fremont CA), Reactor chamber self-cleaning process.
  185. Jastrzebski Lubomir L. (Plainsboro NJ), Recessed oxide method for making a silicon-on-insulator substrate.
  186. Twu Jih-Churng,TWX ; Jang Syun-Ming,TWX ; Yu Chen-Hua,TWX, Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process.
  187. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer.
  188. Holmer Arthur Edward ; Litwin Michael Mark ; Albaugh Kevin Bruce, Removal of carbon from substrate surfaces.
  189. Wang Jau-Jey (Hsin-Chu TWX) Chang Ming-Hsung (Hsin-Chu TWX), Salicide process for a MOS semiconductor device using nitrogen implant of titanium.
  190. Bauer, Matthias; Arena, Chantal; Bertram, Ronald; Tomasini, Pierre; Cody, Nyles; Brabant, Paul; Italiano, Joseph; Jacobson, Paul; Weeks, Keith Doran, Selective deposition of silicon-containing films.
  191. Chin Maw-Rong (Huntington Beach CA) Warren Gary (Huntington Beach CA) Liao Kuan-Yang (Laguna Niguel CA), Self-aligned contact diffusion barrier method.
  192. Yue Jerry (Roseville MN) Liu Michael S. T. (Bloomington MN), Self-aligned semiconductor device.
  193. O'Brien Sean ; Prinslow Douglas A., Self-aligned silicide process.
  194. Manning H. Monte, Self-aligned silicide strap connection of polysilicon layers.
  195. Manning H. Monte, Self-aligned silicide strap connection of polysilicon layers.
  196. Chen Chii-Wen (Hsin-Chu TWX) Liang Mong-Song (Hsin-Chu TWX), Self-aligned tin formation by N2+implantation during two-step annealing Ti-salicidation.
  197. Kiyotaka Miyano JP; Ichiro Mizushima JP; Yoshitaka Tsunashima JP; Tomohiro Saito JP, Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor.
  198. Kurotani,Kingo; Sakamoto,Takeshi; Yano,Michio; Nagura,Kenichi, Semiconductor device and a method of manufacturing the same.
  199. Miyamoto Takaaki,JPX, Semiconductor device contains refractory metal or metal silicide with less than 1% weight of halogen atom.
  200. Mee-Young Yoon KR; Sang-In Lee KR; Hyun-Seok Lim KR, Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer.
  201. Pfiester James R. (Austin TX), Semiconductor device having an MOS transistor with overlapped and elevated source and drain.
  202. Maeda Takeo (Tokyo JPX) Momose Hiroshi (Tokyo JPX) Urakawa Yukihiro (Kawasaki JPX) Matsui Masataka (Tokyo JPX), Semiconductor device having bipolar transistor and MOS transistor.
  203. Murthy Anand ; Jan Chia-Hong ; Andideh Ebrahim ; Weldon Kevin, Semiconductor device having deposited silicon regions and a method of fabrication.
  204. Tsukune Atsuhiro (Kawasaki JPX) Suzuki Kiyokatsu (Kawasaki JPX) Matsuura Katsuyoshi (Kawasaki JPX) Mieno Fumitake (Kawasaki JPX) Yamanishi Hirokazu (Kawasaki JPX), Semiconductor device manufacturing apparatus and its cleaning method.
  205. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Semiconductor metallization method.
  206. Christenson John C. (Kokomo IN) Schubert Peter J. (Kokomo IN), Semiconductor mushroom structure fabrication.
  207. Ping Er-Xang ; Thakur Randhir P. S., Semiconductor processing method of providing a doped polysilicon layer.
  208. Eberl Karl,DEX ; Brunner Karl,DEX, Semiconductor structure for a transistor.
  209. Sato, Nobuhiko, Semiconductor substrate and method for producing the same.
  210. Hiroki Ose JP, Semiconductor wafer and production method therefor.
  211. Tomoji Watanabe JP; Nobuyuki Mise JP; Toshiyuki Uchino JP; Norio Suzuki JP; Yoshihiko Sakurai JP; Toshiya Uenishi JP; Yohsuke Inoue JP; Yasuhiro Inokuchi JP; Fumihide Ikeda JP, Semiconductor wafer processing apparatus for transferring a wafer mount.
  212. Sherman Arthur, Sequential chemical vapor deposition.
  213. Hidetoshi Odaka JP; Yuka Kanamori JP; Hiroshi Ito JP; Hideharu Jyono JP; Hiroshi Iwakiri JP; Fumio Kawakubo JP, Silane-functionalized polyether composition.
  214. Yoder Max N. (Falls Church VA), Silicon carbide and SiCAlN heterojunction bipolar transistor structures.
  215. Suzuki Akira (Nara JPX) Furukawa Katsuki (Sakai JPX) Shigeta Mitsuhiro (Joyo JPX) Fujii Yoshihisa (Nagoya JPX) Ogura Atsuko (Nara JPX), Silicon carbide semiconductor device.
  216. Croke ; III Edward T., Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors.
  217. Nulman Jaim (Palo Alto CA), Single anneal step process for forming titanium silicide on semiconductor wafer.
  218. Woo Sik Yoo, Single wafer annealing oven.
  219. Wang, Haihong; Xiang, Qi, Strained silicon MOSFET having silicon source/drain regions and method for its fabrication.
  220. Yu Chang (Boise ID) Doan Trung T. (Boise ID) Sandhu Gurtej S. (Boise ID), Stress reduction in metal films by laser annealing.
  221. Thomas, Shawn; Tomasini, Pierre, Stressor for engineered strain on channel.
  222. Rathore Hazara S. ; Dalal Hormazdyar M. ; McLaughlin Paul S. ; Nguyen Du B. ; Smith Richard G. ; Swinton Alexander J. ; Wachnik Richard A., Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity.
  223. Pomarede, Christophe F.; Roberts, Jeff; Shero, Eric J., Surface preparation prior to deposition.
  224. Todd, Michael A.; Raaijmakers, Ivo, Thin films and method of making them.
  225. Kakihara Yoshinobu (Nara JPX), Three dimensional semiconductor on insulator substrate.
  226. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Titanium nitride MOS device gate electrode and method of producing.
  227. Sandhu Gurtej S. (Boise ID), Tungsten silicide (WSix) deposition process for semiconductor manufacture.
  228. Takahashi Hironari (Itami JPX), Vacuum CVD apparatus.
  229. Mieno Fumitake (Kawasaki JPX) Kurita Kazuyuki (Yokohama JPX) Nakamura Shinji (Yokohama JPX) Shimizu Atuo (Kawasaki JPX), Vapor deposition method for simultaneously growing an epitaxial silicon layer and a polycrystalline silicone layer over.
  230. Parsons James D. (Newbury Park CA) Snyder David E. (Seattle WA), Vertical channel field effect transistor.
  231. Gadgil Prasad N. ; Seidel Thomas E., Vertically-stacked process reactor and cluster tool system for atomic layer deposition.
  232. Michael W. Halpin ; Mark R. Hawkins ; Derrick W. Foster ; Robert M. Vyne ; John F. Wengert ; Cornelius A. van der Jeugd ; Loren R. Jacobs ; Frank B. M. Van Bilsen ; Matthew Goodman ; Hartman, Wafer support system.
  233. Izumi Hirohiko (Sagamihara JPX), .

이 특허를 인용한 특허 (102)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  3. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  4. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  5. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  6. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  7. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  8. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  9. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  10. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  11. Yednak, III, Andrew M.; Dunn, Todd; White, Carl; Manasco, Michael, Deposition valve assembly and method of heating the same.
  12. Weeks, Keith Doran; Tolle, John; Goodman, Matthew G.; Mehta, Sandeep, Doped semiconductor films and processing.
  13. Lai, Szu-Hao; Wu, Chun-Yuan; Chien, Chin-Cheng; Yu, Tien-Wei; Chang, Ming-Hua; Lin, Yu-Shu; Wen, Tsai-Yu; Hsu, Hsin-Kuo, Epitaxial process.
  14. Yu, Ming-Hua; Jeng, Pei-Ren; Lee, Tze-Liang, FinFET with bottom SiGe layer in source/drain.
  15. Yu, Ming-Hua; Jeng, Pei-Ren; Lee, Tze-Liang, FinFET with bottom SiGe layer in source/drain.
  16. Yu, Ming-Hua; Jeng, Pei-Ren; Lee, Tze-Liang, FinFET with bottom SiGe layer in source/drain.
  17. Cheng, Kangguo; Li, Juntao; Miao, Xin; Wang, Junli, FinFET with reduced source and drain resistance.
  18. Cheng, Kangguo; Li, Juntao; Miao, Xin; Wang, Junli, FinFET with reduced source and drain resistance.
  19. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  20. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  21. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  22. Bauer, Matthias, High throughput cyclical epitaxial deposition and etch process.
  23. Naczas, Sebastian; Paruchuri, Vamsi; Reznicek, Alexander; Schepis, Dominic J., Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings.
  24. Naczas, Sebastian; Paruchuri, Vamsi; Reznicek, Alexander; Schepis, Dominic J., Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings.
  25. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  26. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  27. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  28. Pore, Viljami, Method and apparatus for filling a gap.
  29. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  30. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  31. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  32. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  33. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  34. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  35. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  36. Kang, DongSeok, Method for depositing thin film.
  37. Zhang, Haiyang; Ren, Jia, Method for fabricating semiconductor device.
  38. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  39. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  40. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  41. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  42. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  43. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  44. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  45. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  46. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  47. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  48. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  49. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  50. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  51. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  52. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  53. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  54. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  55. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  56. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  57. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  58. Kwok, Tsz-Mei; Sung, Hsueh-Chang; Chen, Kuan-Yu; Lin, Hsien-Hsin, Methods for manufacturing devices with source/drain structures.
  59. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  60. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  61. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  62. Winkler, Jereld Lee; Shero, Eric James; Alokozai, Fred, Multi-step method and apparatus for etching compounds containing a metal.
  63. Kittl, Jorge A.; Wang, Wei-E; Rodder, Mark S., Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same.
  64. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  65. Milligan, Robert Brennan; Alokozai, Fred, Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same.
  66. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  67. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  68. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  69. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  70. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  71. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  72. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  73. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  74. Shero, Eric; Halpin, Michael; Winkler, Jerry, Radiation shielding for a substrate holder.
  75. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductive films.
  76. Zhu, Chiyu, Selective film deposition method to form air gaps.
  77. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  78. Kim, Jin-bum; Kim, Chul-sung; Bae, Deok-han; Koo, Bon-young, Semiconductor device and method of fabricating the same.
  79. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  80. Yuan, Feng; Yeh, Chih Chieh; Chiang, Hung-Li; Lee, Tsung-Lin, Semiconductor device having a strained region.
  81. Kim, Nam Kyu; Suh, Dong Chan; Lee, Kwan Heum; Lee, Byeong Chan; Lee, Cho Eun; Jung, Su Jin; Kim, Gyeom; Yoon, Ji Eon, Semiconductor device having silicon-germanium source/drain regions with varying germanium concentrations.
  82. Kim, Nam Kyu; Suh, Dong Chan; Lee, Kwan Heum; Lee, Byeong Chan; Lee, Cho Eun; Jung, Su Jin; Kim, Gyeom; Yoon, Ji Eon, Semiconductor device having silicon-germanium source/drain regions with varying germanium concentrations.
  83. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  84. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  85. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  86. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  87. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  88. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  89. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  90. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  91. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  92. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  93. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  94. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  95. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  96. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  97. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  98. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  99. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  100. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  101. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  102. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로