$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Process feed management for semiconductor substrate processing 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/455
  • C23C-016/44
  • H01J-037/32
출원번호 US-0284642 (2011-10-28)
등록번호 US-9017481 (2015-04-28)
발명자 / 주소
  • Pettinger, Fred
  • White, Carl
  • Marquardt, Dave
  • Ibrani, Sokol
  • Shero, Eric
  • Dunn, Todd
  • Fondurulia, Kyle
  • Halpin, Mike
출원인 / 주소
  • ASM America, Inc.
대리인 / 주소
    Snell & Wilmer LLP
인용정보 피인용 횟수 : 166  인용 특허 : 149

초록

Embodiments related to managing the process feed conditions for a semiconductor process module are provided. In one example, a gas channel plate for a semiconductor process module is provided. The example gas channel plate includes a heat exchange surface including a plurality of heat exchange struc

대표청구항

1. A heat exchanger for a showerhead volume of a semiconductor process module, comprising: a heat exchange plenum assembly comprising a heat exchange fluid director plate and a cover plate; anda gas channel plate including: a heat exchange surface including a plurality of heat exchange structures se

이 특허에 인용된 특허 (149)

  1. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  2. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  3. Stanislaw Kopacz ; Douglas Arthur Webb ; Gerrit Jan Leusink ; Rene Emile LeBlanc ; Michael S. Ameen ; Joseph Todd Hillman ; Robert F. Foster ; Robert Clark Rowan, Jr., Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  4. Liu, Benjamin Y. H.; Dinh, Thuc M.; Dick, William D.; Collins, Aaron M.; Romay, Francisco J., Apparatus for counting particles in a gas.
  5. Thakur,Randhir P. S.; Mak,Alfred W.; Xi,Ming; Glenn,Walter Benjamin; Khan,Ahmad A.; Al Shaikh,Ayad A.; Gelatos,Avgerinos V.; Umotoy,Salvador P., Apparatus for cyclical deposition of thin films.
  6. Bahng, Kenneth J.; Davis, Matthew F.; Morey, Travis; Carducci, James D., Apparatus for efficient removal of halogen residues from etched substrates.
  7. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  8. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  9. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  10. Lee, Bong-Ju; Yoo, Suk-Jae, Apparatus for treating the surface with neutral particle beams.
  11. Aarseth Finn,NOX, Arrangement in a pipe bundle.
  12. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  13. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrHfSnOfilms as high k gate dielectrics.
  14. Lee,Sang In; Owyang,Jon S.; Senzaki,Yoshihide; Helms, Jr.,Aubrey L.; Kapkin,Karem, Atomic layer deposition of hafnium-based high-k dielectric.
  15. Kilpela, Olli; Saanila, Ville; Li, Wei-Min; Elers, Kai-Erik; Kostamo, Juhana; Raaijmakers, Ivo; Granneman, Ernst, Atomic layer deposition reactor.
  16. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  17. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  18. Elmer Arthur E. H. (Stroud GB2), Automatic control valves.
  19. Uzoh,Cyprian Emeka; Talieh,Homayoun; Basol,Bulent, Chip interconnect and packaging deposition methods and structures.
  20. Patrick Leahey ; Jerry C. Chen ; Richard E. Remington ; Simon Yavelberg ; Timothy Driscoll ; Robert E. Ryan ; Brian Hatcher ; Rolf Guenther ; Xueyu Qian, Closed-loop dome thermal control apparatus for a semiconductor wafer processing system.
  21. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  22. Stine Clifford R. (Solon OH) Wojtecki Rudolph G. (Mantua OH), Composite tubing product.
  23. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  24. Prescott Norman F. (Four Fairview Ave. Wenham MA 01984), Delivery system for organometallic compounds.
  25. Wang, Luping; Baum, Thomas H.; Xu, Chongying, Delivery systems for efficient vaporization of precursor source material.
  26. Wang,Luping; Baum,Thomas H.; Xu,Chongying, Delivery systems for efficient vaporization of precursor source material.
  27. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  28. Ogliari, Vincenzo; Pozzetti, Vittorio; Preti, Franco, Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction.
  29. Numakura, Masahiro, Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program.
  30. Minami Yukio,JPX ; Ikeda Nobukazu,JPX ; Yamaji Michio,JPX ; Tanigawa Tsutomu,JPX, Device for heating fluid controller.
  31. Majewski Robert ; Kao Yeh-Jen ; Wang Yen Kun, Dual channel gas distribution plate.
  32. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  33. Oehlschlaeger Richard M. (Novelty OH) Greco John R. (Ravenna OH), Dual wall safety tube.
  34. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  35. Li, Yicheng, Exhaust apparatus configured to reduce particle contamination in a deposition system.
  36. Hattori, Tadashi, Exposure apparatus and method of manufacturing device.
  37. Hayashi, Yutaka, Exposure apparatus, exposure method, and device manufacturing method.
  38. Basol,Bulent M.; Talieh,Homayoun, Fabrication of semiconductor interconnect structures.
  39. Fastow,Richard M.; He,Yue Song; Wang,Zhigang, Flash memory with high-K dielectric material between substrate and gate.
  40. Aid James D. (St. Petersburg FL) Cameron Norman F. (St. Petersburg FL) Hartranft Thomas P. (Safety Harbor FL), Flow measurement system.
  41. Ismailov, Murad M., Flow meter.
  42. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  43. Paton, Eric N.; Xiang, Qi; Yu, Bin, Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications.
  44. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  45. Hawkins Mark R. (Mesa AZ) Robinson McDonald (Paradise Valley AZ), Gas injectors for reaction chambers in CVD systems.
  46. Adachi,Naoshi; Yoshida,Kazushi; Aoki,Yoshiro, Heat treatment jig for semiconductor substrate.
  47. Vincent Kent D. (Cupertino CA), Heated transfer line for capillary tubing.
  48. Kasai, Shigeru; Suzuki, Tomohiro, Heating apparatus, heat treatment apparatus, computer program and storage medium.
  49. Gupta Anand ; Parkhe Vijay, Increasing the sensitivity of an in-situ particle monitor.
  50. Christensen Robert W. (Monte Sereno CA), Induction heated pancake epitaxial reactor.
  51. Hayes Donald J. ; Wallace David B. ; Frederickson Christopher J., Inline thermo-cycler.
  52. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  53. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  54. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  55. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  56. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  57. Furukawahara, Kazunori; Fukuda, Hideaki, Liquid material vaporization apparatus for semiconductor processing apparatus.
  58. Hammel Bent (Eiksmarka NOX), Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons.
  59. Uzoh, Cyprian Emeka, Method and apparatus for 3D interconnect.
  60. Barr Thomas Aloysius ; Barr Christopher Velton ; Elliott James Charles ; Frew Dirk Alan, Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components.
  61. Jevtic Dusan, Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool.
  62. Boitnott Charles A. (Half Moon Bay CA) Toole Monte M. (San Carlos CA), Method and apparatus for batch processing a semiconductor wafer.
  63. Benjamin, Neil; Steger, Robert, Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support.
  64. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  65. Venkatesh Srilakshmi ; Jevtic Dusan, Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot.
  66. Gregg, John N.; Battle, Scott L.; Banton, Jeffrey I.; Naito, Donn K.; Laxman, Ravi K., Method and apparatus to help promote contact of gas with vaporized material.
  67. Basol,Bulent M.; Talieh,Homayoun, Method and structure to improve reliability of copper interconnects.
  68. Okrah, Angel Y., Method and system for using a buffer to track robotic movement.
  69. Tuominen, Marko; Shero, Eric; Verghese, Mohith, Method for controlling the sublimation of reactants.
  70. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  71. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  72. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  73. Jeon,Joong S.; Clark Phelps,Robert B.; Xiang,Qi; Zhong,Huicai, Method for forming a thin, high quality buffer layer in a field effect transistor and related structure.
  74. Chang Auck Choi KR; Chi Hoon Jun KR; Won Ick Jang KR; Yun Tae Kim KR, Method for forming micro cavity.
  75. Kuo-Liang Lu TW; Yung-Chih Yao TW, Method for preventing contamination in a plasma process chamber.
  76. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  77. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  78. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  79. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  80. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  81. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  82. Wei, An Chyi, Method of forming self-aligned contacts and local interconnects.
  83. Agarwala, Birendra N.; Dalal, Hormazdyar Minocher; Liniger, Eric G.; Llera-Hurlburt, Diana; Nguyen, Du Binh; Procter, Richard W.; Rathore, Hazara Singh; Tian, Chunyan E.; Engel, Brett H., Method of making an edge seal for a semiconductor device.
  84. Liang,Chieh Shuo; Tzeng,Pei Jer; Lee,Heng Yuan; Lee,Lurng Shehng, Method of manufacturing charge storage device.
  85. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Method of producing titanium nitride MOS device gate electrode.
  86. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  87. Conley, Jr., John F.; Ono, Yoshi, Method to control the interfacial layer for deposition of high dielectric constant films.
  88. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  89. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  90. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  91. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  92. Goldsmith Forest S. (Newton MA) Waugh Arthur (Winchester MA), Movable core fast cool-down furnace.
  93. Conley, Jr.,John F.; Ono,Yoshi; Solanki,Rajendra, Nanolaminate film atomic layer deposition method.
  94. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  95. Onodera, Naomi; Gokon, Kiyohiko; Sato, Jun, Plasma process apparatus and plasma process method.
  96. Kumihashi Takao (Kokubunji JPX) Tsujimoto Kazunori (Higashi-yamato JPX) Tachi Shinichi (Sayama JPX) Kanetomo Masafumi (Tokyo JPX) Kobayashi Junichi (Ushiku JPX) Usui Tatehito (Ibaraki JPX) Mise Nobuy, Plasma treatment method and apparatus.
  97. Tachikawa, Yuichi; Yasui, Kazumichi; Nakaso, Kiyoshi; Hattori, Kiyoshi; Okuya, Tsugiyuki; Mita, Makoto, Position measurement apparatus and method and pattern forming apparatus and writing method.
  98. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  99. Mahajani,Maitreyee, Pretreatment processes within a batch ALD reactor.
  100. Kent, Martin; Laflamme, Jr., Arthur H; Wallace, Jay; Hamelin, Thomas, Processing system and method for treating a substrate.
  101. Yamasaki Shigeru,JPX ; Okumura Masahiko,JPX, Projection exposure apparatus and method.
  102. Nishi Kenji (Kawasaki JPX), Projection exposure apparatus having an off-axis alignment system and method of alignment therefor.
  103. Fondurulia, Kyle; Shero, Eric J; Verghese, Mohith; White, Carl L, Reactant source vessel.
  104. deBoer Wiebe B. (Kromme Molenweg 10 5521 GB Eersel OR NLX) Ozias Albert E. (7515 Poet Rd. ; S.E. Aumsville OR 97325), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  105. Shelnut, James G.; Merricks, David; Dutkewych, Oleh B.; Shipley, Charles R., Seed layer deposition.
  106. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  107. Disel Jimmy D., Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles.
  108. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  109. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  110. Kang, Sang-bom; Lim, Hyun-seok; Chae, Yung-sook; Jeon, In-sang; Choi, Gil-heyun, Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors.
  111. Menser, Jr., Clayton David; Gilfford, Jeffrey Paul, Semiconductor manufacturing process monitoring.
  112. Patalay, Kailash K.; Metzner, Craig; Carlson, David K., Semiconductor process chamber vision and monitoring system.
  113. Guldi, Richard L.; Melcher, Keith W.; Williston, John, Semiconductor wafer edge marking.
  114. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  115. Iizuka, Hachishiro, Shower head and plasma processing apparatus having same.
  116. Lindfors, Sven; Soininen, Pekka Juha, Showerhead assembly and ALD methods.
  117. Arai, Takeshi; Honma, Tadaaki; Habuka, Hitoshi, Silicon epitaxial wafer and production method therefor.
  118. Kumagai, Akira; Ishibashi, Keiji; Mori, Shigeru, Silicon oxide film formation method.
  119. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  120. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  121. Lindfors, Sven; von Zweygbergk, Jan; Kukkonen, Marko J., Source chemical container assembly.
  122. Adomaitis, Raymond A.; Kidder, Jr., John N.; Rubloff, Gary W., Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation.
  123. Shero,Eric J.; Givens,Michael E.; Schmidt,Ryan, Sublimation bed employing carrier gas guidance structures.
  124. Goto, Shigehiro; Matsuchika, Keiji; Morita, Akihiko, Substrate heat treatment apparatus.
  125. Satoshi Okada JP; Michiko Nishiwaki JP; Katsuhisa Kasanami JP; Eisuke Nishitani JP, Substrate processing apparatus and semiconductor device producing method.
  126. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  127. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  128. Metzner, Craig R.; Kher, Shreyas S.; Han, Shixue, System and method for forming a gate dielectric.
  129. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  130. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  131. Raisanen, Petri; Sung-hoon, Jung; Mohith, Verghese, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  132. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  133. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  134. Lubomirsky Dmitry ; D'ambra Allen I. ; Floyd Edward L ; Liang Qiwei ; Hoffman Daniel J ; Fuentes Victor H ; Yavelberg Simon ; Chen Jerry C, Temperature control system for process chamber.
  135. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  136. Vellore, Kim; Liang, Qiwei; Polar, Erwin, Temperature controlled chamber.
  137. MacCracken Thomas G. (Van Alstyne TX) MacCracken Janet (Van Alstyne TX) MacCracken Richard E. (Dallas TX) Rice Millard B. (Plano TX), Temperature controlled insulation system.
  138. Tsukamoto, Yuji; Strang, Eric J., Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system.
  139. Nguyen, Vuong P.; Sims, Richard E.; Zhu, Xiaoguang, Thermal process station with heated lid.
  140. Kurara,Hironobu; Sonobe,Muneaki; Enomoto,Shinichi, Unit for varying a temperature of a test piece and testing instrument incorporating same.
  141. McMenamin Joseph C. (Fresno CA), Vapor mass flow control system.
  142. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  143. Bertone Daniele (Torino ITX), Vapour generator for chemical vapour deposition systems.
  144. Sato Mitsuya (Yokohama JPX) Imai Shunzo (Yamato JPX) Hiraga Ryozo (Kanaga JPX), Wafer handling apparatus and method.
  145. Edelstein Sergio ; Chen Steven A. ; Parkhe Vijay D., Wafer heater assembly.
  146. Kawamura,Keisuke; Sasaki,Tsutomu; Matsumura,Atsuki; Ikari,Atsushi; Hamaguchi,Isao; Inoue,Yoshiharu; Tanaka,Koki; Hayashi,Shunichi, Wafer holding, wafer support member, wafer boat and heat treatment furnace.
  147. Li Shih-Hung ; Vass Curtis, Wafer out-of-pocket detection method.
  148. Guy T. Blalock ; Hugh E. Stroupe ; Brian F. Gordon, Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing.
  149. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.

이 특허를 인용한 특허 (166)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  3. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  4. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  6. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  7. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  8. Sung, Edward; Smith, Colin F.; Hamilton, Shawn M., Anti-transient showerhead.
  9. Marquardt, David; Shugrue, John, Apparatus and method for calculating a wafer position in a processing chamber under process conditions.
  10. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  11. Kamiya, Tatsuo, Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum.
  12. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  13. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  14. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  15. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  16. Lubomirsky, Dmitry, Chamber with flow-through source.
  17. Lubomirsky, Dmitry, Chamber with flow-through source.
  18. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  19. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  20. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  21. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  22. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  23. Zaitsu, Masaru; Fukazawa, Atsuki; Fukuda, Hideaki, Continuous process incorporating atomic layer etching.
  24. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  25. Zhu, Chiyu; Shrestha, Kiran; Haukka, Suvi, Deposition of metal borides.
  26. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  27. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  28. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  29. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  30. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  31. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  32. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  33. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  34. Hawkins, Mark; Halleck, Bradley Leonard; Kirschenheiter, Tom; Hossa, Benjamin; Pottebaum, Clay; Miskys, Claudio, Gas distribution system, reactor including the system, and methods of using the same.
  35. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  36. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  37. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  38. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  39. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  40. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  41. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  42. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  43. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  44. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  45. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  46. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  47. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  48. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  49. Pore, Viljami, Method and apparatus for filling a gap.
  50. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  51. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  52. Tolle, John; Hill, Eric; Winkler, Jereld Lee, Method and system for in situ formation of gas-phase compounds.
  53. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  54. Winkler, Jereld Lee, Method and systems for in-situ formation of intermediate reactive species.
  55. Suemori, Hidemi, Method for depositing dielectric film in trenches by PEALD.
  56. Kang, DongSeok, Method for depositing thin film.
  57. Takamure, Noboru; Okabe, Tatsuhiro, Method for forming Ti-containing film by PEALD using TDMAT or TDEAT.
  58. Shiba, Eiichiro, Method for forming aluminum nitride-based film by PEALD.
  59. Winkler, Jereld Lee, Method for forming conformal carbon films, structures conformal carbon film, and system of forming same.
  60. Fukazawa, Atsuki, Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition.
  61. Fukazawa, Atsuki; Fukuda, Hideaki; Takamure, Noboru; Zaitsu, Masaru, Method for forming dielectric film in trenches by PEALD using H-containing gas.
  62. Kimura, Yosuke; de Roest, David, Method for forming film having low resistance and shallow junction depth.
  63. Ishikawa, Dai; Fukazawa, Atsuki, Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches.
  64. Namba, Kunitoshi, Method for forming silicon oxide cap layer for solid state diffusion process.
  65. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  66. Yamagishi, Takayuki; Suwada, Masaei; Tanaka, Hiroyuki, Method for positioning wafers in multiple wafer transport.
  67. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  68. Kato, Richika; Okuro, Seiji; Namba, Kunitoshi; Nonaka, Yuya; Nakano, Akinori, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  69. Haukka, Suvi; Shero, Eric James; Alokozai, Fred; Li, Dong; Winkler, Jereld Lee; Chen, Xichong, Method for treatment of deposition reactor.
  70. Zaitsu, Masaru, Method of atomic layer etching using functional group-containing fluorocarbon.
  71. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  72. Ko, Jungmin, Method of fin patterning.
  73. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  74. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  75. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  76. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  77. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  78. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  79. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  80. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  81. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  82. Margetis, Joe; Tolle, John, Methods of forming highly p-type doped germanium tin films and structures and devices including the films.
  83. Margetis, Joe; Tolle, John, Methods of forming silicon germanium tin films and structures and devices including the films.
  84. Zhu, Chiyu; Asikainen, Timo; Milligan, Robert Brennan, NbMC layers.
  85. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  86. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  87. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  88. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  89. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  90. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  91. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  92. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  93. Rozenzon, Yan; Tantiwong, Kyle; Yousif, Imad; Knyazik, Vladimir; Keating, Bojenna; Banna, Samer, Plasma reactor with highly symmetrical four-fold gas injection.
  94. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  95. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  96. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  97. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  98. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  99. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  100. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  101. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  102. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  103. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  104. Winkler, Jereld Lee, Pulsed remote plasma method and system.
  105. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  106. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  107. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  108. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  109. Shero, Eric; Halpin, Michael; Winkler, Jerry, Radiation shielding for a substrate holder.
  110. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  111. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  112. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  113. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  114. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  115. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  116. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  117. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  118. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  119. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  120. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  121. Zhu, Chiyu, Selective film deposition method to form air gaps.
  122. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  123. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  124. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  125. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  126. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  127. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  128. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  129. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  130. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  131. Tsuji, Naoto, Semiconductor manufacturing apparatus.
  132. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  133. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  134. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  135. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  136. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  137. Xie, Qi; Machkaoutsan, Vladimir; Maes, Jan Willem, Semiconductor structure and device and methods of forming same using selective epitaxial process.
  138. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  139. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  140. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  141. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  142. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  143. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  144. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  145. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  146. Arai, Izumi, Single-and dual-chamber module-attachable wafer-handling chamber.
  147. Xie, Qi; de Roest, David; Woodruff, Jacob; Givens, Michael Eugene; Maes, Jan Willem; Blanquart, Timothee, Source/drain performance through conformal solid state doping.
  148. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  149. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  150. Tolle, John, Structures and devices including germanium-tin films and methods of forming same.
  151. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
  152. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  153. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  154. Tang, Fu; Givens, Michael Eugene; Xie, Qi; Raisanen, Petri, System and method for gas-phase sulfur passivation of a semiconductor surface.
  155. Lawson, Keith R.; Givens, Michael E., Systems and methods for dynamic semiconductor process scheduling.
  156. Sarin, Michael Christopher; Mendez, Rafael; Bartlett, Gregory M.; Hill, Eric; Lawson, Keith R.; Rosser, Andy, Systems and methods for mass flow controller verification.
  157. Meinhold, Henner W.; Doble, Dan M.; Lau, Stephen Yu-Hong; Wilson, Vince; Srinivasan, Easwar, Temperature controlled showerhead.
  158. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  159. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  160. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  161. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  162. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  163. Coomer, Stephen Dale, Variable adjustment for precise matching of multiple chamber cavity housings.
  164. Shugrue, John Kevin, Variable conductance gas distribution apparatus and method.
  165. Schmotzer, Michael; Whaley, Shawn, Variable gap hard stop design.
  166. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로