$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method for forming conformal carbon films, structures conformal carbon film, and system of forming same 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C01B-031/04
  • C23C-016/455
  • C23C-016/01
  • C23C-016/56
  • C01B-031/02
  • C23C-016/32
출원번호 US-0079302 (2013-11-13)
등록번호 US-9605343 (2017-03-28)
발명자 / 주소
  • Winkler, Jereld Lee
출원인 / 주소
  • ASM IP Holding B.V.
대리인 / 주소
    Snell & Wilmer L.L.P.
인용정보 피인용 횟수 : 21  인용 특허 : 306

초록

Methods of forming carbon films, structures and devices including the carbon films, and systems for forming the carbon films are disclosed. A method includes depositing a metal carbide film using atomic layer deposition (ALD). Metal from the metal carbide film is removed from the metal carbide film

대표청구항

1. A method of forming a carbon film, the method comprising the steps of: providing a substrate;depositing, using atomic layer deposition (ALD), a first layer of metal carbide onto the substrate;in a reaction chamber having a pressure in the range of about 50 mTorr to about 600 Torr and having a tem

이 특허에 인용된 특허 (306)

  1. Oohashi,Kaoru; Mizukami,Shunsuke; Ueda,Takehiro, Absorption board for an electric chuck used in semiconductor manufacture.
  2. Liu, Xinye; Collins, Joshua; Ashtiani, Kaihan A., Adsorption based material removal process.
  3. Lory Earl R. (Pennington NJ) Olmer Leonard J. (Austin TX), Anisotropic deposition of silicon dioxide.
  4. Raaijmakers, Ivo, Apparatus and method for growth of a thin film.
  5. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  6. Stanislaw Kopacz ; Douglas Arthur Webb ; Gerrit Jan Leusink ; Rene Emile LeBlanc ; Michael S. Ameen ; Joseph Todd Hillman ; Robert F. Foster ; Robert Clark Rowan, Jr., Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  7. Nakano Masao (Higashi-Sumiyoshi JPX) Mori Keiichi (Hyogo JPX) Hiraiwa Yoshitaka (Fukuoka JPX) Iizuka Shoji (Kita-Kyushu JPX) Shima Shozo (Chiba JPX) Nakamura Yukio (Chiba JPX), Apparatus for continuously measuring temperature of molten metal and method for making same.
  8. Liu, Benjamin Y. H.; Dinh, Thuc M.; Dick, William D.; Collins, Aaron M.; Romay, Francisco J., Apparatus for counting particles in a gas.
  9. Bahng, Kenneth J.; Davis, Matthew F.; Morey, Travis; Carducci, James D., Apparatus for efficient removal of halogen residues from etched substrates.
  10. Soininen Pekka,FIX ; Patteri Janne,FIX, Apparatus for growing thin films.
  11. Takahashi Mitsukazu (Kyoto JPX) Chiba Takatoshi (Kyoto JPX) Nishii Kiyofumi (Kyoto JPX), Apparatus for heat-treating wafer by light-irradiation and device for measuring temperature of substrate used in such ap.
  12. Mayusumi, Masanori; Imai, Masato; Inoue, Kazutoshi; Nakahara, Shinji; Gima, Shintoshi, Apparatus for manufacturing semiconductor wafer.
  13. Brooks Ray G. ; Brooks Timothy W. ; Fowler Stephen L., Apparatus for packaging contaminant-sensitive articles and resulting package.
  14. Suntola Tuomo S. (Espoo FIX) Pakkala Arto J. (Espoo FIX) Lindfors Sven G. (Espoo FIX), Apparatus for performing growth of compound thin films.
  15. Zhao Jun ; Sinha Ashok ; Tepman Avi ; Chang Mei ; Luo Lee ; Schreiber Alex ; Sajoto Talex ; Wolff Stefan ; Dornfest Charles ; Danek Michal, Apparatus for substrate processing with improved throughput and yield.
  16. Lee, Bong-Ju; Yoo, Suk-Jae, Apparatus for treating the surface with neutral particle beams.
  17. Aarseth Finn,NOX, Arrangement in a pipe bundle.
  18. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  19. Robson David,GBX, Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element.
  20. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposited dielectric layers.
  21. Ueda, Shintaro, Atomic layer deposition for controlling vertical film growth.
  22. Derderian, Garo J.; Meng, Shuang; Dynka, Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  23. Derderian,Garo J.; Meng,Shuang; Dynka,Danny, Atomic layer deposition method of depositing an oxide on a substrate.
  24. Ahn,Kie Y.; Forbes,Leonard, Atomic layer deposition of ZrHfSnOfilms as high k gate dielectrics.
  25. Lee,Sang In; Owyang,Jon S.; Senzaki,Yoshihide; Helms, Jr.,Aubrey L.; Kapkin,Karem, Atomic layer deposition of hafnium-based high-k dielectric.
  26. Kilpela, Olli; Saanila, Ville; Li, Wei-Min; Elers, Kai-Erik; Kostamo, Juhana; Raaijmakers, Ivo; Granneman, Ernst, Atomic layer deposition reactor.
  27. Yoder Max N. (Falls Church VA), Atomic layer etching.
  28. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited LaAlO3 films for gate dielectrics.
  29. Ahn,Kie Y.; Forbes,Leonard, Atomic layer-deposited hafnium aluminum oxide.
  30. Elmer Arthur E. H. (Stroud GB2), Automatic control valves.
  31. Rozbicki,Robert; Danek,Michal, Barrier first method for single damascene trench applications.
  32. Cruse Richard (Kendall Park NJ) Szalai Veronika (New Haven CT) Clark Terence (Princeton NJ) Rohman Stephen (Kendall Park NJ) Mininni Robert (Stockton NJ), CVD of silicon-based ceramic materials on internal surface of a reactor.
  33. Lee Hsing-Chung (Woodland Hills CA), CVD reactor with uniform layer depositing ability.
  34. Lieber Charles M. ; Dai Hongjie, Carbide nanomaterials.
  35. Lee, Ming-Chang; Tseng, Chih-Kuo, Carrier channel with element concentration gradient distribution and fabrication method thereof.
  36. Halpin, Michael; Shero, Eric; White, Carl; Alokozai, Fred; Winkler, Jerry; Dunn, Todd, Chamber sealing member.
  37. Remington, Jr., Michael P., Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby.
  38. Hampden-Smith Mark ; Kunze Klaus ; Nyman May, Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate li.
  39. Monkowski Joseph R. (Carlsbad CA) Logan Mark A. (Carlsbad CA), Chemical vapor deposition reactor and method of use thereof.
  40. Uzoh,Cyprian Emeka; Talieh,Homayoun; Basol,Bulent, Chip interconnect and packaging deposition methods and structures.
  41. Patrick Leahey ; Jerry C. Chen ; Richard E. Remington ; Simon Yavelberg ; Timothy Driscoll ; Robert E. Ryan ; Brian Hatcher ; Rolf Guenther ; Xueyu Qian, Closed-loop dome thermal control apparatus for a semiconductor wafer processing system.
  42. Leap, Gerald, Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation.
  43. Tepman Avi ; Yin Gerald Zheyao ; Olgado Donald, Compartnetalized substrate processing chamber.
  44. Stine Clifford R. (Solon OH) Wojtecki Rudolph G. (Mantua OH), Composite tubing product.
  45. Swaminathan, Shankar; Sriram, Mandyam; van Schravendijk, Bart; Subramonium, Pramod; LaVoie, Adrien, Conformal doping via plasma activated atomic layer deposition and conformal film deposition.
  46. Bauer, Matthias; Thomas, Shawn G., Cyclical epitaxial deposition and etch.
  47. Shiozawa Jun-ichi ; Tsunashima Yoshitaka ; Okumura Katsuya, Deep trench filling method using silicon film deposition and silicon migration.
  48. Prescott Norman F. (Four Fairview Ave. Wenham MA 01984), Delivery system for organometallic compounds.
  49. Wang, Luping; Baum, Thomas H.; Xu, Chongying, Delivery systems for efficient vaporization of precursor source material.
  50. Wang,Luping; Baum,Thomas H.; Xu,Chongying, Delivery systems for efficient vaporization of precursor source material.
  51. Craig R. Metzner ; Turgut Sahin ; Gregory F. Redinbo ; Pravin K. Narwankar ; Patricia M. Liu, Deposition reactor having vaporizing, mixing and cleaning capabilities.
  52. Yednak, III, Andrew M.; Dunn, Todd; White, Carl; Manasco, Michael, Deposition valve assembly and method of heating the same.
  53. Ogliari, Vincenzo; Pozzetti, Vittorio; Preti, Franco, Device and method for handling substrates by means of a self-leveling vacuum system in epitaxial induction.
  54. Numakura, Masahiro, Device for controlling processing system, method for controlling processing system and computer-readable storage medium stored processing program.
  55. Minami Yukio,JPX ; Ikeda Nobukazu,JPX ; Yamaji Michio,JPX ; Tanigawa Tsutomu,JPX, Device for heating fluid controller.
  56. Suzuki Hiromi (Tochigi-ken JPX), Downflow spin dryer.
  57. Kadomura Shingo (Kanagawa JPX), Dry etching method.
  58. Komino Mitsuaki,JPX ; Uchisawa Osamu,JPX, Drying processing method and apparatus using same.
  59. Majewski Robert ; Kao Yeh-Jen ; Wang Yen Kun, Dual channel gas distribution plate.
  60. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., Dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  61. Oehlschlaeger Richard M. (Novelty OH) Greco John R. (Ravenna OH), Dual wall safety tube.
  62. Yasuda, Hozumi; Namiki, Keisuke; Fukushima, Makoto; Nabeya, Osamu; Saito, Koji; Yamaki, Satoru; Inoue, Tomoshi; Togashi, Shingo; Togawa, Tetsuji, Elastic membrane for semiconductor wafer polishing apparatus.
  63. Uchino,Takeo; Shichida,Hiroyuki; Isozaki,Masakazu; Tsubone,Tsunehiko; Makino,Akitaka, Electrode cover for a plasma processing apparatus.
  64. Ahn,Kie Y.; Forbes,Leonard, Electronic apparatus with deposited dielectric layers.
  65. Benson Saw MY; Chin Chuan Lim MY; Seong Jin Lim MY; Tee Hoh Quah MY, Electronic device.
  66. Yamashita Teppei (Ise JPX) Murata Masanao (Ise JPX) Tanaka Tsuyoshi (Ise JPX) Morita Teruya (Ise JPX) Kawano Hitoshi (Ise JPX) Hayashi Mitsuhiro (Ise JPX) Okuno Atsushi (Ise JPX) Nakamura Akio (Ise J, Electronic substrate processing system using portable closed containers and its equipments.
  67. Sugimoto, Hiroya, Electrostatic chuck.
  68. Gardner Donald S. (Mountain View CA), Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias.
  69. Frijlink Peter (Crosne FRX), Epitaxial reactor having a wall which is protected from deposits.
  70. Chandrachood, Madhavi R.; Kumar, Ajay, Etching of nano-imprint templates using an etch reactor.
  71. Li, Yicheng, Exhaust apparatus configured to reduce particle contamination in a deposition system.
  72. Hattori, Tadashi, Exposure apparatus and method of manufacturing device.
  73. Hayashi, Yutaka, Exposure apparatus, exposure method, and device manufacturing method.
  74. Obikane, Tadashi, FOUP opening/closing device and probe apparatus.
  75. Basol,Bulent M.; Talieh,Homayoun, Fabrication of semiconductor interconnect structures.
  76. Takagi, Toshio, Film deposition apparatus and film deposition method.
  77. Kato, Hitoshi; Tamura, Tatsuya; Kumagai, Takeshi, Film deposition method.
  78. Kakimoto, Akinobu; Komori, Katsuhiko; Hasebe, Kazuhide, Film formation apparatus.
  79. Koike Atsushi (Chiba JPX), Film forming apparatus capable of preventing adhesion of film deposits.
  80. Fastow,Richard M.; He,Yue Song; Wang,Zhigang, Flash memory with high-K dielectric material between substrate and gate.
  81. Aid James D. (St. Petersburg FL) Cameron Norman F. (St. Petersburg FL) Hartranft Thomas P. (Safety Harbor FL), Flow measurement system.
  82. Ismailov, Murad M., Flow meter.
  83. Lei Lawrence ; Trihn Son ; Huston Joel M., Fluid delivery system and method.
  84. Salvador, Christopher J.; Heibenthal, Randall W.; Deedrich, Dennis M.; Harder, David B.; Hacker, John R.; Eisenmenger, Richard, Fluid filter system.
  85. Patejak Jerzy F. (Wampum PA), Flying saw with movable work shifter.
  86. Paton, Eric N.; Xiang, Qi; Yu, Bin, Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications.
  87. White, Carl L.; Shero, Eric; Reed, Joe, Gap maintenance for opening to process chamber.
  88. Kang, Hu; Swaminathan, Shankar; Qian, Jun; Kim, Wanki; Hausmann, Dennis; van Schravendijk, Bart J.; LaVoie, Adrien, Gapfill of variable aspect ratio features with a composite PEALD and PECVD method.
  89. Hawkins Mark R. (Mesa AZ) Robinson McDonald (Paradise Valley AZ), Gas injectors for reaction chambers in CVD systems.
  90. Kouvetakis, John; Bauer, Matthew; Menendez, Jose; Hu, Chang Wu; Tsong, Ignatius S. T.; Tolle, John, GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon.
  91. Adachi,Naoshi; Yoshida,Kazushi; Aoki,Yoshiro, Heat treatment jig for semiconductor substrate.
  92. Vincent Kent D. (Cupertino CA), Heated transfer line for capillary tubing.
  93. Yednak, III, Andrew M.; Pettinger, Jr., Frederick L., Heater jacket for a fluid line.
  94. Kasai, Shigeru; Suzuki, Tomohiro, Heating apparatus, heat treatment apparatus, computer program and storage medium.
  95. Kawaji, Tatsuya; Sakai, Yuichi; Kaneda, Masatoshi, Heating apparatus, heating method, and computer readable storage medium.
  96. Sajoto Talex ; Selyutin Leonid ; Zhao Jun ; Wolff Stefan, High temperature multi-layered alloy heater assembly and related methods.
  97. Osada, Hideyuki, Holding pad for transferring a wafer.
  98. Kojima Noriatsu (31 ; Yanagishima-cho 5-chome Nakagawa-ku ; Nagoya ; Aichi JPX), Horn shaped multi-inlet pipe fitting.
  99. Ogawa Koji (Kasugai JPX) Suzuki Hiroshi (Tajimi JPX), Immersion-type temperature measuring apparatus using thermocouple.
  100. Gupta Anand ; Parkhe Vijay, Increasing the sensitivity of an in-situ particle monitor.
  101. Christensen Robert W. (Monte Sereno CA), Induction heated pancake epitaxial reactor.
  102. Hayes Donald J. ; Wallace David B. ; Frederickson Christopher J., Inline thermo-cycler.
  103. Waite, Andrew M.; Luning, Scott, Integrated circuit and method for its manufacture.
  104. Mori, Glen T.; Ow, Yueh Sheng, Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation.
  105. Sadaka, Mariam G.; Eades, Debby; Mogab, Joe; Nguyen, Bich Yen; Zavala, Melissa O.; Spencer, Gregory S., Inverse slope isolation and dual surface orientation integration.
  106. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  107. Ahn,Kie Y.; Forbes,Leonard, Lanthanide oxide / hafnium oxide dielectric layers.
  108. Ahn,Kie Y.; Forbes,Leonard, Lanthanum hafnium oxide dielectrics.
  109. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  110. Cowans,Kenneth W., Lateral temperature equalizing system for large area surfaces during processing.
  111. Furukawahara, Kazunori; Fukuda, Hideaki, Liquid material vaporization apparatus for semiconductor processing apparatus.
  112. Shugrue, John; Moen, Ron, Lockout tagout for semiconductor vacuum valve.
  113. Wengert John F. ; Raaijmakers Ivo ; Halpin Mike ; Jacobs Loren ; Meyer Michael J. ; van Bilsen Frank ; Goodman Matt ; Barrett Eric ; Wood Eric ; Samuels Blake, Long life high temperature process chamber.
  114. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  115. Vitale,Steven Arthur, Manufacturing a semiconductive device using a controlled atomic layer removal process.
  116. Jung, Sung-Hoon, Metal oxide protective layer for a semiconductor device.
  117. Wurzburger ; deceased Paul D. (late of Cleveland Heights OH) Reed ; executor by Peter (Cleveland OH), Metering valve.
  118. Hammel Bent (Eiksmarka NOX), Method and a plant for transport of hydrocarbons over a long distance from an offshore source of hydrocarbons.
  119. Uzoh, Cyprian Emeka, Method and apparatus for 3D interconnect.
  120. Barr Thomas Aloysius ; Barr Christopher Velton ; Elliott James Charles ; Frew Dirk Alan, Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components.
  121. Kramer Martin S. (Romeo MI) Byrnes Larry E. (Rochester Hills MI) Holmes Gary L. (Grand Bland MI), Method and apparatus for application of thermal spray coatings to engine blocks.
  122. Jevtic Dusan, Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool.
  123. Boitnott Charles A. (Half Moon Bay CA) Toole Monte M. (San Carlos CA), Method and apparatus for batch processing a semiconductor wafer.
  124. van den Berg, Jannes Remco; den Hartog, Edwin, Method and apparatus for batch processing of wafers in a furnace.
  125. Benjamin, Neil; Steger, Robert, Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support.
  126. Reed, Joseph C; Shero, Eric J, Method and apparatus for minimizing contamination in semiconductor processing chamber.
  127. Venkatesh Srilakshmi ; Jevtic Dusan, Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot.
  128. Gregg, John N.; Battle, Scott L.; Banton, Jeffrey I.; Naito, Donn K.; Laxman, Ravi K., Method and apparatus to help promote contact of gas with vaporized material.
  129. Basol,Bulent M.; Talieh,Homayoun, Method and structure to improve reliability of copper interconnects.
  130. Okrah, Angel Y., Method and system for using a buffer to track robotic movement.
  131. Jung, Sung-Hoon; Raisanen, Petri; Liu, Eric Jen Cheng; Schmotzer, Mike, Method and system to reduce outgassing in a reaction chamber.
  132. Fischer Heinrich (Furstentum LIX), Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method.
  133. Tuominen, Marko; Shero, Eric; Verghese, Mohith, Method for controlling the sublimation of reactants.
  134. Conley, Jr., John F.; Ono, Yoshi; Solanki, Rajendra, Method for depositing a nanolaminate film by atomic layer deposition.
  135. Rolfson J. Brett, Method for depositing polysilicon with discontinuous grain boundaries.
  136. Ji,Bing; Motika,Stephen Andrew; Pearlstein,Ronald Martin; Karwacki, Jr.,Eugene Joseph; Wu,Dingjun, Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials.
  137. Ramdani, Jamal; Droopad, Ravindranath; Yu, Zhiyi, Method for fabricating a semiconductor structure including a metal oxide interface with silicon.
  138. Vidya S. Kaushik, Method for forming a high dielectric constant material.
  139. Jeon,Joong S.; Clark Phelps,Robert B.; Xiang,Qi; Zhong,Huicai, Method for forming a thin, high quality buffer layer in a field effect transistor and related structure.
  140. Chang Auck Choi KR; Chi Hoon Jun KR; Won Ick Jang KR; Yun Tae Kim KR, Method for forming micro cavity.
  141. Gros-Jean, Mickael, Method for manufacturing a polycrystalline dielectric layer.
  142. Shiba, Eiichiro, Method for performing uniform processing in gas system-sharing multiple reaction chambers.
  143. Kouvetakis,John; Bauer,Matthew; Tolle,John; Cook,Candi, Method for preparing GeSnE(E=P, As, Sb) semiconductors and related Si-Ge-Sn-E and Si-Ge-E analogs.
  144. Kuo-Liang Lu TW; Yung-Chih Yao TW, Method for preventing contamination in a plasma process chamber.
  145. Suntola Tuomo (Riihikallio 02610 Espoo 61 SF) Antson Jorma (Urheilutie 22 ; 01350 Vantaa 35 SF), Method for producing compound thin films.
  146. Schaeffer,James K.; Roan,Darrell; Triyoso,Dina H.; Adetutu,Olubunmi O., Method for treating a semiconductor surface to form a metal-containing layer.
  147. Yun-sook Chae KR; In-sang Jeon KR; Sang-bom Kang KR; Sang-in Lee KR; Kyu-wan Ryu KR, Method of delivering gas into reaction chamber and shower head used to deliver gas.
  148. Haukka, Suvi; Huotari, Hannu, Method of depositing barrier layer for metal gates.
  149. Pasch Nicholas F. (Pacifica CA) Choudhury Ratan (Milpitas CA), Method of doping metal layers for electromigration resistance.
  150. Jeong, Ji-Hyun; Oh, Jae-Hee; Park, Jae-Hyun, Method of fabricating nonvolatile memory device.
  151. Raaijmakers, Ivo; Soininen, Pekka T.; Granneman, Ernst H. A., Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition.
  152. Sadoway Donald R. (Belmont MA) Singh Rana P. (White Fish Bay WI), Method of forming a metal coating on a substrate.
  153. Ma, Yanjun; Ono, Yoshi, Method of forming a multilayer dielectric stack.
  154. Dussarrat, Christian; Blasco, Nicolas; Pinchart, Audrey; Lachaud, Christophe, Method of forming dielectric films, new precursors and their use in semiconductor manufacturing.
  155. Werkhoven, Christiaan J.; Raaijmakers, Ivo; Haukka, Suvi P., Method of forming graded thin films using alternating pulses of vapor phase reactants.
  156. Kang Sang-bom,KRX ; Lim Hyun-seok,KRX ; Chae Yung-sook,KRX ; Jeon In-sang,KRX ; Choi Gil-heyun,KRX, Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor.
  157. Wei, An Chyi, Method of forming self-aligned contacts and local interconnects.
  158. Agarwala, Birendra N.; Dalal, Hormazdyar Minocher; Liniger, Eric G.; Llera-Hurlburt, Diana; Nguyen, Du Binh; Procter, Richard W.; Rathore, Hazara Singh; Tian, Chunyan E.; Engel, Brett H., Method of making an edge seal for a semiconductor device.
  159. Sandow Peter M. (Sunnyvale CA) Chin Barry L. (San Diego CA), Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposi.
  160. Sawada Shigeki (Souraku JPX), Method of manufacturing a semiconductor device.
  161. Liang,Chieh Shuo; Tzeng,Pei Jer; Lee,Heng Yuan; Lee,Lurng Shehng, Method of manufacturing charge storage device.
  162. Min,Yo sep; Bae,Eun ju; Choi,Won bong; Cho,Young jin; Lee,Jung hyun, Method of manufacturing inorganic nanotube.
  163. Yuasa, Kazuhiro; Akae, Naonori; Terasaki, Masato, Method of manufacturing semiconductor device, method of processing substrate and non-transitory computer readable recording medium.
  164. Akae, Naonori; Hirose, Yoshiro; Takasawa, Yushin; Ota, Yosuke; Sasajima, Ryota, Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus.
  165. Akae, Naonori; Hirose, Yoshiro; Takasawa, Yushin; Ota, Yosuke; Sasajima, Ryota, Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus.
  166. Fukazawa, Atsuki, Method of plasma-enhanced atomic layer etching.
  167. Higuchi Hiroshi,JPX ; Hanafusa Akira,JPX ; Omura Kuniyoshi,JPX ; Murozono Mikio,JPX ; Oyama Hideaki,JPX, Method of preparing a semiconductor layer for an optical transforming device.
  168. Price J. B. (Scottsdale AZ) Tobin Philip J. (Scottsdale AZ) Pintchovski Fabio (Mesa AZ) Seelbach Christian A. (San Jose CA), Method of producing titanium nitride MOS device gate electrode.
  169. Jerbic Chris (Fremont CA), Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation.
  170. Conley, Jr., John F.; Ono, Yoshi, Method to control the interfacial layer for deposition of high dielectric constant films.
  171. Ranade, Rajiv; Mathad, Gangadhara S.; Chan, Kevin K.; Kulkarni, Subhash B., Method to fill deep trench structures with void-free polysilicon or silicon.
  172. Xu, Huiwen; Shek, Mei-Yee; Xia, Li-Qun; Al-Bayati, Amir; Witty, Derek; M'Saad, Hichem, Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics.
  173. Singh, Harmeet, Methods and apparatus for atomic layer etching.
  174. McMillan Larry D. (Colorado Springs CO) Paz de Araujo Carlos A. (Colorado Springs CO), Methods and apparatus for material deposition.
  175. Chang, Mei; Yudovsky, Joseph, Methods for atomic layer etching.
  176. Tolle, John, Methods of forming films including germanium tin and structures and devices including the films.
  177. Marsh, Eugene P., Methods of selectively forming a material using parylene coating.
  178. Yoshi Ono ; Wei-Wei Zhuang ; Rajendra Solanki, Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate.
  179. Bright Nick ; Mooring Ben, Modular architecture for semiconductor wafer fabrication equipment.
  180. Rubin Richard H. (Fairfield NJ) Petrone Benjamin J. (Netcong NJ) Heim Richard C. (Mountain View CA) Pawenski Scott M. (Wappingers Falls NY), Modular processing apparatus for processing semiconductor wafers.
  181. Schumaier Daniel R., Moisture sensitive item drying appliance.
  182. Goldsmith Forest S. (Newton MA) Waugh Arthur (Winchester MA), Movable core fast cool-down furnace.
  183. Conley, Jr.,John F.; Ono,Yoshi; Solanki,Rajendra, Nanolaminate film atomic layer deposition method.
  184. Umotoy Salvador P. ; Lei Lawrence C. ; Nguyen Anh N. ; Chiao Steve H., One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system.
  185. Wallgren Linus E. (Rockville MD), Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards.
  186. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  187. Milligan, Robert Brennan; Alokozai, Fred, Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same.
  188. Onodera, Naomi; Gokon, Kiyohiko; Sato, Jun, Plasma process apparatus and plasma process method.
  189. Oyabu, Jun, Plasma processing apparatus.
  190. Kumihashi Takao (Kokubunji JPX) Tsujimoto Kazunori (Higashi-yamato JPX) Tachi Shinichi (Sayama JPX) Kanetomo Masafumi (Tokyo JPX) Kobayashi Junichi (Ushiku JPX) Usui Tatehito (Ibaraki JPX) Mise Nobuy, Plasma treatment method and apparatus.
  191. Huang Heng-Sheng (Taipei TWX), Polysilicon contact.
  192. Tachikawa, Yuichi; Yasui, Kazumichi; Nakaso, Kiyoshi; Hattori, Kiyoshi; Okuya, Tsugiyuki; Mita, Makoto, Position measurement apparatus and method and pattern forming apparatus and writing method.
  193. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E.; White, Carl L., Precursor delivery system.
  194. Fondurulia, Kyle; Shero, Eric; Verghese, Mohith E; White, Carl L, Precursor delivery system.
  195. Lei, Xinjian; Norman, John Anthony Thomas, Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films.
  196. Mahajani,Maitreyee, Pretreatment processes within a batch ALD reactor.
  197. Kurs, Andre B.; McCauley, Alexander Patrick; Li, Qiang; Campanella, Andrew J., Printed resonator coil.
  198. Pettinger, Fred; White, Carl; Marquardt, Dave; Ibrani, Sokol; Shero, Eric; Dunn, Todd; Fondurulia, Kyle; Halpin, Mike, Process feed management for semiconductor substrate processing.
  199. McNallan, Michael J.; Ersoy, Daniel; Gogotsi, Yury, Process for converting a metal carbide to carbon by etching in halogens.
  200. Georges Zagdoun FR, Process for depositing a tungsten-based and/or molybdenum-based layer on a rigid substrate, and substrate thus coated.
  201. Lu Jiong-Ping, Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density.
  202. Wild Barbara (Bietigheim-Bissingen DEX), Process for producing an arsenic-doped smooth polycrystalline silicon layer for very large scale integrated circuits.
  203. Putkonen, Matti, Process for producing zirconium oxide thin films.
  204. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  205. Li, Yicheng, Processing chamber for manufacturing semiconductors.
  206. Kent, Martin; Laflamme, Jr., Arthur H; Wallace, Jay; Hamelin, Thomas, Processing system and method for treating a substrate.
  207. Kai-Erik Elers FI; Ville Antero Saanila FI; Sari Johanna Kaipio FI; Pekka Juha Soininen FI, Production of elemental thin films using a boron-containing reducing agent.
  208. Yamasaki Shigeru,JPX ; Okumura Masahiko,JPX, Projection exposure apparatus and method.
  209. Nishi Kenji (Kawasaki JPX), Projection exposure apparatus having an off-axis alignment system and method of alignment therefor.
  210. Quartarone James M. (Portsmouth RI), Protective coating system for aluminum.
  211. Papasouliotis,George D., Pulsed deposition layer gap fill with expansion material.
  212. Fondurulia, Kyle; Shero, Eric J; Verghese, Mohith; White, Carl L, Reactant source vessel.
  213. Mikata, Yuuichi; Katsui, Shuji; Akahori, Hiroshi, Reliable semiconductor device and method of manufacturing the same.
  214. deBoer Wiebe B. (Kromme Molenweg 10 5521 GB Eersel OR NLX) Ozias Albert E. (7515 Poet Rd. ; S.E. Aumsville OR 97325), Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment.
  215. Shelnut, James G.; Merricks, David; Dutkewych, Oleh B.; Shipley, Charles R., Seed layer deposition.
  216. Bauer, Matthias; Weeks, Keith Doran, Selective epitaxial formation of semiconductor films.
  217. Avouris, Phaedon; Carruthers, Roy A.; Chen, Jia; Detavernier, Christopher G. M. M.; Lavoie, Christian; Wong, Hon-Sum Philip, Self-aligned process for nanotube/nanowire FETs.
  218. Disel Jimmy D., Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles.
  219. Raisanen, Petri; Givens, Michael; Verghese, Mohith, Semiconductor device dielectric interface layer.
  220. Shamouilian, Shamouil; Lai, Canfeng; Cox, Michael Santiago; Krishnaraj, Padmanabhan; Tanaka, Tsutomu; Raoux, Sebastien; Porshnev, Peter I.; Nowak, Thomas, Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas.
  221. Tamura Akiyoshi (Suita JPX), Semiconductor device having an MIS structure.
  222. Kato Toshio,JPX ; Tokumasu Noboru,JPX ; Azumi Takayoshi,JPX, Semiconductor device manufacturing system.
  223. Kang, Sang-bom; Lim, Hyun-seok; Chae, Yung-sook; Jeon, In-sang; Choi, Gil-heyun, Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors.
  224. Menser, Jr., Clayton David; Gilfford, Jeffrey Paul, Semiconductor manufacturing process monitoring.
  225. Yan,Jiang; Sung,Chun Yung; Shum,Danny Pak Chum; Gutmann,Alois, Semiconductor method and device with mixed orientation substrate.
  226. Patalay, Kailash K.; Metzner, Craig; Carlson, David K., Semiconductor process chamber vision and monitoring system.
  227. Pan Pai-Hung ; Sharan Sujit ; Prall Kirk, Semiconductor processing method of forming a conductively doped semiconductive material plug within a contact opening.
  228. Shero, Eric; Verghese, Mohith E.; White, Carl L.; Terhorst, Herbert; Maurice, Dan, Semiconductor processing reactor and components thereof.
  229. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  230. Milligan, Robert Brennan; Alokozai, Fred, Semiconductor reaction chamber with plasma capabilities.
  231. Guldi, Richard L.; Melcher, Keith W.; Williston, John, Semiconductor wafer edge marking.
  232. Fujikawa Yuichiro (Yamanashi-ken JPX) Hatano Tatsuo (Yamanashi-ken JPX) Murakami Seishi (Yamanashi-ken JPX), Shower head and film forming apparatus using the same.
  233. Iizuka, Hachishiro, Shower head and plasma processing apparatus having same.
  234. Lindfors, Sven; Soininen, Pekka Juha, Showerhead assembly and ALD methods.
  235. Chen, Jerry; Machkaoutsan, Vladimir; Milligan, Brennan; Maes, Jan Willem; Haukka, Suvi; Shero, Eric; Blomberg, Tom E.; Li, Dong, Silane and borane treatments for titanium carbide films.
  236. Arai, Takeshi; Honma, Tadaaki; Habuka, Hitoshi, Silicon epitaxial wafer and production method therefor.
  237. Hurley Kelly T., Silicon nitride deposition method.
  238. Kumagai, Akira; Ishibashi, Keiji; Mori, Shigeru, Silicon oxide film formation method.
  239. Nemani,Srinivas D.; Lee,Young S., Silicon oxide gapfill deposition using liquid precursors.
  240. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  241. Tomiyasu, Shizuo; Tokudome, Kohichi; Haga, Kenichi, Solid organometallic compound-filled container and filling method thereof.
  242. Lindfors, Sven; von Zweygbergk, Jan; Kukkonen, Marko J., Source chemical container assembly.
  243. Adomaitis, Raymond A.; Kidder, Jr., John N.; Rubloff, Gary W., Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation.
  244. Shibazaki,Yuichi, Stage device with frame-shaped member movable in at least three degrees of freedom within a two-dimensional plane.
  245. Weeks, Keith Doran, Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same.
  246. Shero,Eric J.; Givens,Michael E.; Schmidt,Ryan, Sublimation bed employing carrier gas guidance structures.
  247. Goto, Shigehiro; Matsuchika, Keiji; Morita, Akihiko, Substrate heat treatment apparatus.
  248. Kaneno Nobuaki,JPX ; Kizuki Hirotaka,JPX ; Takemi Masayoshi,JPX ; Mori Kenzo,JPX, Substrate holder for MOCVD.
  249. Satoshi Okada JP; Michiko Nishiwaki JP; Katsuhisa Kasanami JP; Eisuke Nishitani JP, Substrate processing apparatus and semiconductor device producing method.
  250. Ueda, Issei; Kudou, Hiroyuki, Substrate processing apparatus and substrate processing method.
  251. Kawamoto, Tomokazu, Substrate processing method, and method of manufacturing semiconductor device.
  252. Nishimura, Hideki; Nakashima, Mikio, Substrate processing method, substrate processing apparatus, and program storage medium.
  253. Jang, Hyun Soo; Kim, Dae Youn; Lee, Jeong Ho; Lee, Seung Seob; Kwon, Hak Yong, Substrate supporter for semiconductor deposition apparatus.
  254. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  255. Shibagaki, Masami; Kurematsu, Yasumi, Substrate supporting/transferring tray.
  256. Hu Evelyn L. (Somerset NJ) Jackel Lawrence D. (Morganville NJ), Superconducting junctions utilizing a binary semiconductor barrier.
  257. Toya Eiichi (Oguni JPX) Itoh Yukio (Oguni JPX) Ohashi Tadashi (Oguni JPX) Sumiya Masayuki (Oguni JPX) Sasaki Yasumi (Oguni JPX), Susceptor.
  258. Yokogawa,Masanari, Susceptor.
  259. Hellwig Lance G. (Jennings MO), Susceptor and baffle therefor.
  260. Son, Hyo Kun, Susceptor and semiconductor manufacturing apparatus including the same.
  261. Maki, Keigo, Susceptor device.
  262. Perlov Ilya (Santa Clara CA), Susceptor drive and wafer displacement mechanism.
  263. Nakamura, Shuji; DenBaars, Steven; Batres, Max; Coulter, Michael, Susceptor for MOCVD reactor.
  264. Kordina Olle (Sturefors SEX) Fornell Jan-Olov (Malmo SEX) Berge Rune (Lund SEX) Nilsson Roger (Lund SEX), Susceptor for a device for epitaxially growing objects and such a device.
  265. Martin John G. (Scotch Plains NJ), Susceptor for heating semiconductor substrates.
  266. Hill Lawrence B. (Short Hills NJ) Garbis Dennis (Dix Hills NY) Heller Robert C. (Stonybrook NY) Granata Amedeo J. (Flushing NY), Susceptor for radiant absorption heater system.
  267. Yamada Takayuki (Saga JPX) Kii Takeshi (Saga JPX), Susceptor for vapor-phase growth system.
  268. Dunn, Todd; Alokozai, Fred; Winkler, Jerry; Halpin, Michael, Susceptor heater and method of heating a substrate.
  269. Dunn, Todd; White, Carl; Halpin, Michael; Shero, Eric; Winkler, Jerry, Susceptor heater shim.
  270. Kim, Yong-Jin, Susceptor of apparatus for manufacturing semiconductor device.
  271. Ohashi Tsuneaki,JPX, Susceptors.
  272. Yamaguchi, Shinji, Susceptors for semiconductor-producing apparatuses.
  273. Metzner, Craig R.; Kher, Shreyas S.; Han, Shixue, System and method for forming a gate dielectric.
  274. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  275. Tuominen, Marko; Shero, Eric; Verghese, Mohith, System for controlling the sublimation of reactants.
  276. Raisanen, Petri; Sung-hoon, Jung; Mohith, Verghese, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  277. Shero, Eric J.; Raisanen, Petri I.; Jung, Sung-Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species.
  278. Shero, Eric; Raisanen, Petri I.; Jung, Sung Hoon; Wang, Chang-Gong, Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species.
  279. Lubomirsky Dmitry ; D'ambra Allen I. ; Floyd Edward L ; Liang Qiwei ; Hoffman Daniel J ; Fuentes Victor H ; Yavelberg Simon ; Chen Jerry C, Temperature control system for process chamber.
  280. Kholodenko Arnold ; Lee Ke Ling ; Shendon Maya ; Quiles Efrain, Temperature control system for semiconductor process chamber.
  281. Vellore, Kim; Liang, Qiwei; Polar, Erwin, Temperature controlled chamber.
  282. MacCracken Thomas G. (Van Alstyne TX) MacCracken Janet (Van Alstyne TX) MacCracken Richard E. (Dallas TX) Rice Millard B. (Plano TX), Temperature controlled insulation system.
  283. Tsukamoto, Yuji; Strang, Eric J., Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system.
  284. Nguyen, Vuong P.; Sims, Richard E.; Zhu, Xiaoguang, Thermal process station with heated lid.
  285. Hsu, Tsang-Hung, Toothbrush dryer.
  286. Watanabe, Masahisa; Hasebe, Kazuhide, Trench-filling method and film-forming system.
  287. Bhatia, Sidharth; Hamana, Hiroshi; Gee, Paul Edward; Venkataraman, Shankar, Two silicon-containing precursors for gapfill enhancing dielectric liner.
  288. Xie, Bo; Demos, Alexandros T.; Yim, Kang Sub; Nowak, Thomas; Chan, Kelvin, UV assisted silylation for recovery and pore sealing of damaged low K films.
  289. Kurara,Hironobu; Sonobe,Muneaki; Enomoto,Shinichi, Unit for varying a temperature of a test piece and testing instrument incorporating same.
  290. Elers, Kai Erik, Vapor deposition of metal carbide films.
  291. McMenamin Joseph C. (Fresno CA), Vapor mass flow control system.
  292. McMenamin Joseph C. (Oceanside CA), Vapor mass flow control system.
  293. Samata Shuichi (Yokohama JPX) Matsushita Yoshiaki (Yokohama JPX), Vapor phase epitaxial growth apparatus.
  294. DeYoung, James, Vapor phase repair and pore sealing of low-K dielectric materials.
  295. Bertone Daniele (Torino ITX), Vapour generator for chemical vapour deposition systems.
  296. Haupt,Moritz, Void free, silicon filled trenches in semiconductors.
  297. Sato Mitsuya (Yokohama JPX) Imai Shunzo (Yamato JPX) Hiraga Ryozo (Kanaga JPX), Wafer handling apparatus and method.
  298. Edelstein Sergio ; Chen Steven A. ; Parkhe Vijay D., Wafer heater assembly.
  299. Miller Michael B. (DeSoto TX), Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same.
  300. Kawamura,Keisuke; Sasaki,Tsutomu; Matsumura,Atsuki; Ikari,Atsushi; Hamaguchi,Isao; Inoue,Yoshiharu; Tanaka,Koki; Hayashi,Shunichi, Wafer holding, wafer support member, wafer boat and heat treatment furnace.
  301. Li Shih-Hung ; Vass Curtis, Wafer out-of-pocket detection method.
  302. Guy T. Blalock ; Hugh E. Stroupe ; Brian F. Gordon, Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing.
  303. Obigane Tadashi (Kofu JPX), Wafer probing test machine.
  304. Budinger William D. (16 Southridge Rd. Kennett Square PA 19348), Workpiece holder for polishing operation.
  305. Wallace Robert M. ; Stoltz Richard A. ; Wilk Glen D., Zirconium and/or hafnium oxynitride gate dielectric.
  306. Conrad Richard H. (950 Idylberry Rd. San Rafael CA 94903), method of powering corona discharge in ozone generators.

이 특허를 인용한 특허 (21)

  1. Ootsuka, Fumio, 3D stacked multilayer semiconductor memory using doped select transistor channel.
  2. Oosterlaken, Theodorus; de Ridder, Chris; Jdira, Lucian, Apparatus and method for manufacturing a semiconductor device.
  3. den Hartog Besselink, Edwin; Garssen, Adriaan; Dirkmaat, Marco, Cassette holder assembly for a substrate cassette and holding member for use in such assembly.
  4. Raisanen, Petri; Shero, Eric; Haukka, Suvi; Milligan, Robert Brennan; Givens, Michael Eugene, Deposition of metal borides.
  5. Milligan, Robert Brennan, Formation of boron-doped titanium metal films with high work function.
  6. Pore, Viljami, Method and apparatus for filling a gap.
  7. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Aerde, Steven R. A.; Haukka, Suvi; Fukuzawa, Atsuki; Fukuda, Hideaki, Method and apparatus for filling a gap.
  8. Pore, Viljami; Knaepen, Werner; Jongbloed, Bert; Pierreux, Dieter; Van Der Star, Gido; Suzuki, Toshiya, Method and apparatus for filling a gap.
  9. Kang, DongSeok, Method for depositing thin film.
  10. Kato, Richika; Nakano, Ryu, Method for protecting layer by forming hydrocarbon-based extremely thin film.
  11. Zaitsu, Masaru; Kobayashi, Nobuyoshi; Kobayashi, Akiko; Hori, Masaru; Kondo, Hiroki; Tsutsumi, Takayoshi, Method of cyclic dry etching using etchant film.
  12. Knaepen, Werner; Maes, Jan Willem; Jongbloed, Bert; Kachel, Krzysztof Kamil; Pierreux, Dieter; De Roest, David Kurt, Method of forming a structure on a substrate.
  13. Lee, Choong Man; Yoo, Yong Min; Kim, Young Jae; Chun, Seung Ju; Kim, Sun Ja, Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method.
  14. Chun, Seung Ju; Yoo, Yong Min; Choi, Jong Wan; Kim, Young Jae; Kim, Sun Ja; Lim, Wan Gyu; Min, Yoon Ki; Lee, Hae Jin; Yoo, Tae Hee, Method of processing a substrate and a device manufactured by using the method.
  15. Kohen, David; Profijt, Harald Benjamin, Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures.
  16. Raisanen, Petri; Givens, Michael Eugene, Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures.
  17. Margetis, Joe; Tolle, John; Bartlett, Gregory; Bhargava, Nupur, Process for forming a film on a substrate using multi-port injection assemblies.
  18. Alokozai, Fred; Milligan, Robert Brennan, Process gas management for an inductively-coupled plasma deposition reactor.
  19. Zhu, Chiyu, Selective film deposition method to form air gaps.
  20. Kim, Young Jae; Choi, Seung Woo; Yoo, Yong Min, Semiconductor device and manufacturing method thereof.
  21. Jeong, Sang Jin; Han, Jeung Hoon; Choi, Young Seok; Park, Ju Hyuk, Susceptor for semiconductor substrate processing apparatus.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로