$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

전압-주파수-구역을 고려한 에너지 최적화 네트워크-온-칩 설계 방법론
Voltage-Frequency-Island Aware Energy Optimization Methodology for Network-on-Chip Design 원문보기

電子工學會論文誌. Journal of the Institute of Electronics Engineers of Korea. SD, 반도체, v.46 no.8 = no.386, 2009년, pp.22 - 30  

김우중 (성균관대학교 정보통신공학부) ,  권순태 (성균관대학교 정보통신공학부) ,  신동군 (성균관대학교 정보통신공학부) ,  한태희 (성균관대학교 정보통신공학부)

초록
AI-Helper 아이콘AI-Helper

네트워크 온 칩 (Network-on-Chip, NoC) 기술은 기존 시스템-온-칩(System-on-Chip, SoC) 설계에서 IP 블록 수 증가와 이에 수반된 상호 연결 네트워크 복잡화 및 데이터 대역폭 제한 등의 문제점을 해결하기 위한 새로운 설계 패러다임이다. 더불어 동작 주파수 증가에 따른 급격한 전력 소모 클럭 신호의 분배와 동기화 문제 역시 중요한 이슈이며, 이에 대한 대안으로 광역적으로는 비동기, 국부적으로는 동기식 (Globally Asynchronous Locally Synchronous, GALS) 인 시스템 설계 방법론이 저전력 기술과 결합되어 에너지 소모를 줄이고 모듈적인 설계를 위해서 고려되어 왔다 GALS 방식의 설계 스타일은 정밀한 시스템 수준 전력 관리를 적용하기 위해 최근 소개되고 있는 전압 주파수 구역 (Voltage-Frequency-Island, VFI) 의 개념과 매우 잘 어울린다. 본 논문에서는 VFI를 적용한 NoC 시스템에서 최적의 전압선택을 통해 에너지 소모를 최소화하는 효율적인 알고리즘을 제시한다. 최적의 코어(또는 처리 소자) 전압과 VFI를 찾기 위해 통신량을 고려한 코어 그래프 분할, 통신-경쟁 시간을 고려한 타일 매핑, 전력 변화량을 고려한 코어의 동적 전압 조절 그리고 효율적인 VFI 병합 및 VFI 동적 전압 재 조절을 포함한다. 본 논문에서 제안한 설계 방법론은 기존 연구결과 대비 평균적으로 10.3%의 에너지 효율 향상이 있다는 것을 실험 결과를 통해 보여준다.

Abstract AI-Helper 아이콘AI-Helper

Due to high levels of integration and complexity, the Network-on-Chip (NoC) approach has emerged as a new design paradigm to overcome on-chip communication issues and data bandwidth limits in conventional SoC(System-on-Chip) design. In particular, exponentially growing of energy consumption caused b...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 논문에서는 NoC 기반 시스템에서 GALS 패러다임을 활용한 VFI의 효과를 극대화하여 에너지 소모를 최적화 할 수 있는 알고리즘을 제안하였다. 제안된 알고리즘은 VFI-고려한 코어 분할, 통신-경쟁시간을 고려한 타일 매핑, 각 코어 기준 전력 변화량을 고려한 동적 전압 조절과 효율적인 VFI 병합과 VFI-동적 전압조절 등 다양한 설계 단계에서 VFI의 효율을 높여 에너지 소모를 최적화한다.
  • 본 논문에서는 위 연구의 문제점을 개선하는 것까지 포함하여 해결한 보다 효율적인 VFI 분할을 수행하는 새로운 NoC 설계 방법론을 제안한다. 이를 위해 통신량을 고려한 코어 그래프 분할이 수행된 후 그것을 바탕으로 통신-경쟁 시간을 고려한 타일 매핑, 전력 변화량을 고려한 코어의 동적 전압 조절 그리고 효율적인 VFI 병합과 그 이후의 VFI 전압 재 조절을 수행한다.
  • 서로 다른 전압을 사용하는 인접한 (상, 하, 좌, 우) 타일들을 같은 전압을 사용하도록 VFI 병합해 보면서 에너지 소모를 최소화하는 것이 이 단계에서의 목표이다. 다시 말해, VFI 병합은 서로 다른 전압을 사용하는 타일들을 같은 전압을 사용하도록 하나의 VFI로 만드는 것을 의미한다.
  • 이 단계에서는 엄격한 성능 제약 조건을 만족하면서 통신 에너지 소모를 최소화하기 위해 각각의 코어들이 어떤 타일에 매핑되어야 하는지를 결정하는 것이 목표이다. 본 논문에서는 기본적으로 [13] 의 타일 매핑 알고리즘에 기반을 두지만 이 알고리즘은 통신이 필요한 태스크 들이 다른 타일에 있을 경우 라우팅 경로 할당에서 발생하는 통신-경쟁 시간을 고려하지 않으므로 어플리케이션의 실행시간이 증가하여 타일 매핑 다음 단계에서 이루어지는 동적 전압 조절 단계에서 효과적인 전압 조절을 하지 못 한다.
  • 이 단계의 목표는 에너지 소모를 최소화하기 위해 어떻게 코어들이 분할되어야 하는지 결정하는 것이다. 전체 에너지 소모는 수식 (4) 에서 보는 것과 같이 수식 (1)에 의해 구한 어플리케이션에서 소모되는 에너지와 수식 (2) 에 의해 구한 통신이 필요한 코어들이 서로 다른 전압을 사용하는 타일에 있으면 MCMF와 VIC를통해 통신을 할 때 발생하는 추가적인 缶初의 합으로 이루어진다.

가설 설정

  • 병합 이후 그림 3(b)과 비교해서 그림 3(a)의 에너지 소모가 상당히 클 것이다. 왜냐하면 통신량이 많은 코어들이 떨어져 있어 사용하는 전압이 다른 타일과 통신을 할 때 필요한 다수의 MCMF(Mixed Clock/Mixed FIFO)와 VLC(Voltage Level Converter)를 통과하기 때문이다.
  • 2(b) 와 같이 태스크가 할당된 코어 그래프로 변환하고 이때 각각의 코어들이 마감 시간(deadline)을 갖고 있다고 가정한다. 이러한 성능 제약 조건을 만족시키면서 최적의 에너지 소모를 갖도록 각 코어의 공급전압, 문턱 전압 그리고 동작 주파수를 구하고 그것을 바탕으로 VFI 분할을 하게 된다.
  • 이 같은 방법을 이용하여 효율적인 VFI 분할, 타일 매핑 그리고 라우팅을 구한다. 하지만 그림 2(b)와 같은 코어 그래프의 각 코어들이 마감 시간을 갖고 있다는 가정은 사실상 불가능하다. 왜냐하면 수식 (1) 과 같이 어플리케이션에서 소모되는 에너지는 코어들의 실행 에너지와 통신 에너지의 합으로 구성되는데, 타일 매핑과 라우팅 경로 할당이 수행되지 않았기 때문에 통신 에너지의 값을 알 수 없기 때문이다.
  • 예를 들어 그림 3은 9개(3×3)의 타일들로 구성된 NoC 구조를 보여주고 있다. 각 타일들은 자신들의 최적의 전압인 A, B, C 또는 D 전압에서 동작한다고 가정한다. 그림 3(a)는 통신량을 고려하지 않은 타일매핑이고 그림 3(b)는 통신량을 고려한 타일 매 用이다.
본문요약 정보가 도움이 되었나요?

참고문헌 (16)

  1. International Technology Roadmap for Semiconductors Report, 2006 

  2. D. Wingard, 'micronetwork-based integration for SoCs,' in Proc. of Design Automation Conference, pp. 673-677, Las Vegas, United States, June 2001 

  3. L. Benini, G. De Micheli. 'Networks on chips: A new SoC prardigm,' IEEE Computer, Vo. 35, no. 1, pp. 70-78, January 2002 

  4. W. Dally, B. Towles, 'Route Packets, Not Wires: On-Chip Interconnection Networks,' In Proc. of Design Automation Conference, pp. 684-689, Las Vegas, United States, June 2001 

  5. A. Jantsch, H. Tenhunen (Eds.). Networks on Chip. Kluwer, 2003 

  6. H. G. Lee, N. Chang, U. Y. Ogras and R. Marculescu, ' On-Chip Communication Architecture Exploration: A Quantitative Evaluation of Point-to-Point, Bus, and Network-on-Chip Approaches,' to appear ACM TODAES, Vol. 12, no. 3, Article 23, August 2007 

  7. M. Coppola, et al. 'OCCN: A Network-On-Chip Modeling and Simulation Framework,' in Proc. of Design, Automation and Test in Europe, pp. 174-179, Paris, France, February, 2004 

  8. D. M. Chapiro, 'Globally asynchronous locally synchronous systems,' PhD thesis, Stanford University, 1984 

  9. D. Bertozzi, et. al., 'NoC Synthesis Flow for Customized Domain Specific Multiprocessor Systems-on-Chip,' IEEE Transactions on Paralledl and Distributed Systems, Vol. 16, no. 2, pp. 113-129, February 2005 

  10. M. Millberg, E. Nilsson, R. Thid, and A. Jantsch, 'Guaranteed Bandwidth using Looped Containers, in Temporally Disjoint Networks within the Nostrum Network on Chip,' in Proc. of Design, Automation and Test in Europe, pp. 174-179, Paris, France, February, 2004 

  11. Y. S. Dhillon, A. U. Diril, A. Chatterjee and H. S. Lee, 'Algorithm for achieving minimum energy consumption in CMOS circuits using multiple supply and threshold voltages at the module level,' in Proc. of ICCAD, pp. 693-700, San Jose, CA, USA, November 2003 

  12. U. Y. Ogras, R. Marcuescu, P. Choudhary and D. Marculescu, 'Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip,' in Proc. of Design Automation Conference, pp. 110-115, San Diego, CA, USA, June 2007 

  13. W. Jang, D. Ding, and D. Z. Pan, 'A voltage-Frequency lsland Aware Energy Optimization Framework for Networks-on-Chip,' in Proc. of ICCAD, pp. 264-269, San Jose, CA, USA, November 2008 

  14. J. Hu and R. Marculescu, 'Communication and task scheduling of application-specific networks-on-chip,' In IEE Proc. Comuters & Digital Techniques, Vol. 152, no. 5, pp. 643-651, September 2005 

  15. M. T. Schmitz, B. M. Al-Hashimi, 'Considering Power Variations of DVS Processing Elements for Energy Minimisation in Distributed Systems,' In Proc. of International Symposium on System Synthesis, pp. 250-255, Montreal, Quebec, Canada, September 2001 

  16. Robert P. Dick, 'Embedded System Synthesis Benchmarks Suite,' http://ziyang.eecs.umich.edu/~dickrp/e3s/ 

저자의 다른 논문 :

LOADING...

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로