$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Toward residual-layer-free nanoimprint lithography in large-area fabrication

Korea-Australia rheology journal, v.26 no.1, 2014년, pp.39 - 48  

Yoon, Hyunsik (Department of Chemical & Biomolecular Engineering, Seoul National University of Science & Technology) ,  Lee, Hyemin (Department of Chemical & Biomolecular Engineering, Seoul National University of Science & Technology) ,  Lee, Won Bo (Department of Chemical and Biomolecular Engineering, Sogang University)

Abstract AI-Helper 아이콘AI-Helper

In the paper, residual-layer-free nanoimprint lithography for large-area fabrication is reviewed. In order to remove the residual layer during the imprint process, polymer resists and mold materials should be designed with the aspects of surface chemistry and mold geometries in mind. Various approac...

주제어

참고문헌 (88)

  1. Appl. Phys. Lett. S Ahn 89 213101 2006 10.1063/1.2392960 Ahn, S., J. Cha, H. Myung, S. Kim and S. Kang, 2006, Continuous ultraviolet roll nanoimprinting process for replicating large-scale nano- and micropatterns, Appl. Phys. Lett. 89, 213101. 

  2. 10.1002/adma.200702650 Ahn, S.H. and L.J. Guo, High-speed roll-to-roll nanoimprint lithography on flexible plastic substrates, 2008, Adv. Mater. 20, 2044. 

  3. ACS Nano SH Ahn 3 2304 2009 10.1021/nn9003633 Ahn, S.H. and L.J. Guo, 2009, Large area roll-to-roll and roll-toplate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting, ACS Nano 3, 2304. 

  4. J. Mater. Chem. AM Almanza-Workman 21 14185 2011 10.1039/c1jm10848d Almanza-Workman, A.M., C.P. Taussig, A.H. Jeans and R.L. Cobene, 2011, Fabrication of three-dimensional imprint lithography templates by colloidal dispersions, J. Mater. Chem. 21, 14185. 

  5. Org. Elec. C Auner 10 1466 2009 10.1016/j.orgel.2009.08.010 Auner, C., U. Palfinger, H. Gold, J. Kraxner, A. Haase, T. Haber, M. Sezen, W. Grogger, G. Jakopic, J.R. Krenn, G. Leising and B. Stadlober, 2009, Residue-free room temperature UVnanoimprinting of submicron organic thin film transistors, Org. Elec. 10, 1466. 

  6. Organic Electronics C Auner 11 552 2010 10.1016/j.orgel.2009.12.012 Auner, C., U. Palfinger, H. Gold, J. Kraxner, A. Haase, T. Haber, M. Sezen, W. Grogger, G. Jakopic, J.R. Krenn, G. Leising and B. Stadlober, 2010, High-performing submicron organic thinfilm transistors fabricated by residue-free embossing, Organic Electronics 11 552. 

  7. Appl. Phys. Lett. MD Austin 81 4431 2002 10.1063/1.1526457 Austin, M.D. and S.Y. Chou, 2002, Fabrication of 70 nm channel length polymer organic thin-film transistors using nanoimprint lithography, Appl. Phys. Lett. 81, 4431. 

  8. J. Vac. Sci. Technol., B T Bailey 18 3572 2000 10.1116/1.1324618 Bailey, T., B.J. Choi, M. Colburn, M. Meissi, S. Shaya, J.G. Ekerdt, S.V. Sreenivasan and C.G. Wilson, 2000, Step and flash imprint lithography: Template surface treatment and defect analysis, J. Vac. Sci. Technol., B 18, 3572. 

  9. J. Vac. Sci. Technol. B LR Bao 20 2881 2002 10.1116/1.1526355 Bao, L.R., X. Cheng, X.D. Huang, L.J. Guo, S.W. Pang and A.F. Yee, 2002, Nanoimprinting over topography and multilayer three-dimensional printing, J. Vac. Sci. Technol. B 20, 2881. 

  10. Microelectron. Eng. A Bessonov 88 2913 2011 10.1016/j.mee.2011.03.157 Bessonov, A., J.W. Seo, J.G. Kim, E.S. Hwang, J.W. Lee, J.W. Cho, D.J. Kim and S. Lee, 2011, Control over pattern fidelity and surface wettability of imprinted templates for flexible color filter manufacturing, Microelectron. Eng. 88, 2913. 

  11. Microelectron. Eng. N Bogdanski 78-79 598 2005 10.1016/j.mee.2004.12.076 Bogdanski, N., M. Wissen, A. Ziegler, and H.-C. Sheer, 2005, Instrumented indentation testing for local characterization of polymer properties after nanoimprint, Microelectron. Eng. 78-79, 598. 

  12. J. Vac. Sci. Technol. B X Cheng 20 2877 2002 10.1116/1.1515307 Cheng, X., Y. Hong, J. Kanicki and L.J. Guo, 2002, High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877. 

  13. Microelectron. Eng. X Cheng 71 277 2004 10.1016/j.mee.2004.01.041 Cheng, X. and L.J. Guo, 2004, A combined-nanoprint-and-photolithography patterning technique, Microelectron. Eng. 71, 277. 

  14. Nanotechnology X Cheng 17 927 2006 10.1088/0957-4484/17/4/015 Cheng, X., D. Li and L.J. Guo, 2006, A hybrid mask-mould lithography scheme and its application in nanoscale organic thin film, Nanotechnology 17, 927. 

  15. J. Am. Chem. Soc. SJ Choi 126 7744 2004 10.1021/ja048972k Choi, S.J., P.J. Yoo, S.J. Beak, T.W. Kim and H.H. Lee, 2004, An ultraviolet-curable mold for sub-10-nm lithography, J. Am. Chem. Soc. 126, 7744. 

  16. J. Colloid Interf. Sci. SJ Choi 340 74 2009 10.1016/j.jcis.2009.08.018 Choi, S.J., D. Tahk and H. Yoon, 2009, Spontaneous dewettinginduced residue-free patterning at room temperature, J. Colloid Interf. Sci. 340, 74. 

  17. Science SY Chou 272 85 1996 10.1126/science.272.5258.85 Chou, S.Y., P.R. Krauss and P.J. Renstrom, 1996, Imprint lithography with 25-nanometer resolution, Science 272, 85. 

  18. J. Vac. Sci. Technol. B SY Chou 15 2897 1997 10.1116/1.589752 Chou, S.Y., P.R. Krauss, W. Zhang, L. Guo and L. Zhuang, 1997, Sub-10nm imprint lithography and applications, J. Vac. Sci. Technol. B, 15, 2897. 

  19. Jpn. J. Appl. Phys. CH Chuang 50 06GK01 2011 10.7567/JJAP.50.06GK01 Chuang, C.H., S.W. Tsai, J.F. Lin and C.P. Chen, 2011, Fabrication of multi-functional optical films by using a ultraviolet curing roll-to-roll system, Jpn. J. Appl. Phys. 50, 06GK01. 

  20. J. Vac. Sci. Technol. B YC Chung 24 1377 2006 10.1116/1.2200375 Chung, Y.C., Y.H. Chiu, H.J. Liu, Y.F. Chang, C.Y. Cheng and F.C.N. Hong, 2006, Ultraviolet curing imprint lithography on flexible indium tin oxide substrates, J. Vac. Sci. Technol. B 24, 1377. 

  21. M Clivia 47 2003 Sotomayor Torres Clivia M. Sotomayor Torres, 2003, Alternative Lithography: Unleashing the Potentials of Nanotechnology, Kluwer Academic, 47 

  22. Proc. SPIE M Colburn 3676 379 1999 10.1117/12.351155 Colburn, M., S. Johnson, M. Stewart, S. Damle, B.J. Choi, T. Bailey, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekert and C.G. Willson, 1999, Step and flash imprint lithography: a new approach to high-resolution patterning, Proc. SPIE 3676, 379. 

  23. Annu. Rev. Mater. Res. EA Costner 39 155 2009 10.1146/annurev-matsci-082908-145336 Costner, E.A., M.W. Lin, W. Jen, and C.G. Willson, 2009, Nanoimprint lithography materials development for semiconductor device fabrication, Annu. Rev. Mater. Res. 39, 155. 

  24. P-G Gennes de 2004 10.1007/978-0-387-21656-0 Capillarity and Wetting Phenomena: Drops, Bubbles, Pearls, Waves de Gennes, P.-G., F. Brochard-Wyart and D. Quéré, 2004, Capillarity and Wetting Phenomena: Drops, Bubbles, Pearls, Waves, Springer, New York 

  25. Adv. Mater. J Dumond 20 1291 2008 10.1002/adma.200701659 Dumond, J and H.Y. Low, 2008, Residual Layer Self-Removal in Imprint Lithography, Adv. Mater. 20, 1291. 

  26. J. Vac. Sci. Technol. B JJ Dumond 30 010801 2012 10.1116/1.3661355 Dumond, J.J. and H.Y. Low, 2012, Recent developments and design challenges in continuous roller micro-and nanoimprinting, J. Vac. Sci. Technol. B 30, 010801. 

  27. Adv. Polym. Technol. MD Fagan 28 246 2009 10.1002/adv.20167 Fagan, M.D., B.H. Kim and D.G. Yao, 2009, A novel process for continuous thermal embossing of large-area nanopatterns onto polymer films, Adv. Polym. Technol. 28, 246. 

  28. Chem. Rev. BD Gates 105 1171 2005 10.1021/cr030076o Gates, B.D. et al., 2005, New approaches to nanofabrication: molding, printing, and other techniques. Chem. Rev. 105, 1171. 

  29. J. Vac. Sci. Technol. B C Gourgon 21 98 2003 10.1116/1.1532735 Gourgon, C., C. Peret, G. Micouin, F. Lazzarino, J.H. Tortai, O. Jorbert and J.-P.E. Grolier, 2003, Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21, 98. 

  30. Adv. Mater. LJ Guo 19 495 2007 10.1002/adma.200600882 Guo L.J., 2007, Nanoimprint lithography: methods and material requirements, Adv. Mater. 19, 495. 

  31. Appl. Phys. Lett. PS Hong 88 173105 2006 10.1063/1.2198091 Hong, P.S., J. Kim and H.H. Lee, 2006, Contrast modified roomtemperature imprint lithography, Appl. Phys. Lett. 88, 173105. 

  32. J. Vac. Sci. Technol. B W Hu 23 2984 2005 10.1116/1.2121729 Hu, W., E.K.F. Yim, R.M. Reano, K.W. Leong and S.W. Pang, 2005, Effects of nanoimprinted patterns in tissue-culture polystyrene on cell behavior, J. Vac. Sci. Technol. B 23, 2984. 

  33. Microelectron. Eng. T Huang 86 615 2009 10.1016/j.mee.2009.01.008 Huang, T., J. Wu, S. Yang, P. Huang and S. Chang, 2009, Direct fabrication of microstructures on metal roller using stepped rotating lithography and electroless nickel plating, Microelectron. Eng. 86 615. 

  34. Nat. Nanotech. JK Hwang 5 742 2010 10.1038/nnano.2010.175 Hwang, J.K., S. Cho, J.M. Dang, E.B. Kwak, K. Song, J. Moon and M.M. Sung, 2010, Direct nanoprinting by liquid-bridgemediated nanotransfer moulding, Nat. Nanotech. 5, 742. 

  35. J. Micromech. Microeng. MJ Kim 16 1700 2006 10.1088/0960-1317/16/8/035 Kim, M.J., S. Song and H.H. Lee, 2006, A two-step dewetting method for large-scale patterning. J. Micromech. Microeng. 16, 1700. 

  36. Proc. SPIE R Inannami 8323 83231J 2012 10.1117/12.916584 Inannami, R., T. Ojima, K. Matsuki, T. Kono and T. Nakasugi, 2012, Sub-100 nm pattern formation by roll-to-roll nanoimprint, Proc. SPIE 8323, 83231J. 

  37. J Israelachvili 1992 Intermolecular & surface forces Israelachvili, J., 1992, Intermolecular & surface forces, Academic Press, London. 

  38. Anal. Chem. RJ Jackman 70 2280 1998 10.1021/ac971295a Jackman, R.J., D.C. Duffy, E. Ostuni, N.D. Willmore and G.M. Whitesides, 1998, Fabricating Large Arrays of Microwells with Arbitrary Dimensions and Filling Them Using Discontinuous Dewetting, Anal. Chem. 70, 2280. 

  39. SID International Symposium Digest of Technical Papers WB Jackson 39 322 2008 10.1889/1.3069658 Jackson, W.B., 2008, Active-matrix backplanes produced by roll-to-roll self aligned imprint lithography (SAIL), in: SID International Symposium Digest of Technical Papers 39, 322. 

  40. J. Appl. Phys. A Jain 113 234511 2013 10.1063/1.4811524 Jain, A. and R.T. Bonnecaze, 2013, Fluid management in roll-toroll nanoimprint lithography, J. Appl. Phys. 113, 234511. 

  41. Proc. SPIE A Jeans 7637 763719 2010 10.1117/12.852268 Jeans, A., M. Almanza-Workman, R. Cobene, R. Elder, R. Garcia, F. Gomez-Pancorbo, W. Jackson, M. Jam, J.J. Kim, O. Kwon, H. Luo, J. Maltabes, P. Mei, C. Perlov, M. Smith, C. Taussig, F. Jeffrey, S. Braymen, J. Hauschildt, K. Junge, D. Larson and D. Stieler, 2010, Alternative lithographic technologies ii, Proc. SPIE 7637, 763719. 

  42. Nanotechnology J John 24 505307 2013 10.1088/0957-4484/24/50/505307 John, J., Y.Y. Tang, J.P. Rothstein, J.J Watkins and K.R. Carter, 2013, Large-area, continuous roll-to-roll nanoimprinting with PFPE composite molds, Nanotechnology 24, 505307. 

  43. Appl. Phys. A: Mater. Sci. & Process. GY Jung 78 1169 2004 10.1007/s00339-003-2393-0 Jung, G.Y., S. Ganapathiappan, X. Li, D.A.A. Ohlberg, D.L. Olynick, Y. Chen, W.M. Tong and R.S. Williams, 2004, Fabrication of molecular-electronic circuits by nanoimprint lithography at low temperatures and pressures, Appl. Phys. A: Mater. Sci. & Process. 78, 1169. 

  44. J. Micromech. Microeng. Y Jung 22 085011 2012 10.1088/0960-1317/22/8/085011 Jung, Y. and X. Cheng, 2012, Dual-layer thermal nanoimprint lithography without dry etching, J. Micromech. Microeng. 22, 085011. 

  45. Microelectron. Eng. AP Kam 73-74 809 2004 10.1016/S0167-9317(04)00225-4 Kam, A.P., J. Seekamp, V. Solovyev, C.C. Cedeno, A. Goldschmidt and C.M.S. Torres, 2004, Nanoimprinted organic field-effect transistors: fabrication, transfer mechanism and solvent effects on device characteristics, Microelectron. Eng. 73-74, 809. 

  46. Sol. Energy Mater. Sol. Cells MG Kang 94 1179 2010 10.1016/j.solmat.2010.02.039 Kang, M.G., H.J. Park, S.H. Ahn and L.J. Guo, 2010, Transparent Cu nanowire mesh electrode on flexible substrates fabricated by transfer printing and its application in organic solar cells, Sol. Energy Mater. Sol. Cells 94, 1179. 

  47. J. Vac. Sci. Technol. B PC Kao 24 1278 2006 10.1116/1.2190661 Kao, P.C., S.Y. Chu, C.Y. Zhan, L.C. Hsu and W.C. Liao, 2006, Fabrication of organic light-emitting devices on flexible substrates using a combined roller imprinting and photolithography-patterning technique, J. Vac. Sci. Technol. B 24, 1278. 

  48. J. Micromech. Microeng. YC Kao 21 025026 2011 10.1088/0960-1317/21/2/025026 Kao, Y.C. and F.C.N. Hong, 2011, Residual-layer-free direct printing by selective filling of a mould, J. Micromech. Microeng. 21, 025026. 

  49. Nature E Kim 376 581 1995 10.1038/376581a0 Kim, E., Y. Xia and G.M. Whitesides, 1995, Making polymeric microstructures: capillary micromolding, Nature 376, 581. 

  50. Adv. Mater. E Kim 9 651 1997 10.1002/adma.19970090814 Kim, E., Y. Xia, X.M. Zhao and G.M. Whitesides, 1997, Solventassisted microcontact molding: A convenient method for fabrication three-dimensional structures on surfaces of polymers, Adv. Mater. 9, 651. 

  51. Microelectron. Eng. K Kim 83 847 2006 10.1016/j.mee.2006.01.037 Kim, K., J. Jeong, Y. Sim and E. Lee, 2006, Minimization of residual layer thickness by using the optimized dispensing method in S-FILTM process, Microelectron. Eng. 83, 847. 

  52. J. Phys. Chem. C MJ Kim 111 1140 2007 10.1021/jp0623342 Kim, M.J., S. Song, S.J. Kwon and H.H. Lee, 2007, Trapezoidal Structure for Residue-Free Filling and Patterning, J. Phys. Chem. C 111, 1140. 

  53. Appl. Phys. Lett. YS Kim 79 2285 2001 10.1063/1.1407859 Kim, Y.S., K.Y. Suh and H.H. Lee, 2001, Fabrication of threedimensional microstructures by soft molding, Appl. Phys. Lett. 79, 2285. 

  54. Appl. Phys. Lett. YS Kim 81 1011 2002 10.1063/1.1498149 Kim, Y.S., J. Park and H.H. Lee, Three-dimensional pattern transfer and nanolithography: modified soft molding, 2002, Appl. Phys. Lett. 81, 1011. 

  55. Nanotechnology Y S Kim 14 1140 2003 10.1088/0957-4484/14/10/312 Kim, Y. S., H.H. Lee and P.T. Hammond, 2003, High density nanostructure transfer in soft molding using polyurethane acrylate molds and polyelectrolyte multilayers. Nanotechnology 14, 1140. 

  56. Jpn. J. Appl. Phys. Part S Kiyohara 144 3686 2005 10.1143/JJAP.44.3686 Kiyohara, S., M. Fujiwara, F. Matsubayashi and K. Mori, 2005, Organic Light-Emitting Microdevices Fabricated by Nanoimprinting Technology Using Diamond Molds, Jpn. J. Appl. Phys. Part 144, 3686. 

  57. Microelectron. Eng. H Lee 77 42 2005 10.1016/j.mee.2004.08.008 Lee, H. and G.-Y. Jung, 2005, Full wafer scale near zero residual nano-imprinting lithography using UV curable monomer solution, Microelectron. Eng. 77, 42. 

  58. Microelectron. Eng. G Leising 83 831 2006 10.1016/j.mee.2006.01.241 Leising, G., B. Stadlober, U. Haas, A. Haase, C. Palfinger, H. Gold and G. Jakopic, 2006, Nanoimprinted devices for integrated organic electronics, Microelectron. Eng. 83, 831. 

  59. J. Vac. Sci. Technol. B W-C Liao 22 2764 2004 10.1116/1.1824044 Liao, W.-C. and S.L.-C. Hsu, 2004, High aspect ratio pattern transfer in imprint lithography using a hybrid mold, J. Vac. Sci. Technol. B, 22, 2764. 

  60. Microelectron. Eng. H Lim 88 2017 2011 10.1016/j.mee.2011.02.018 Lim, H., K.B. Choi, G. Kim, S. Park, J. Ryu and J. Lee, 2011, Roller nanoimprint lithography for flexible electronic devices of a sub-micron scale, Microelectron. Eng. 88, 2017. 

  61. Nano Lett. E Mele 5 1915 2005 10.1021/nl051234p Mele, E., F.D. Benedetto, L. Persano, R. Cingolani and D. Pisignano, 2005, Multilevel room-temperature nanoimprint lithography for conjugated polymer-based photonics, Nano Lett. 5, 1915. 

  62. Nanotechnology H Park 20 245308 2009 10.1088/0957-4484/20/24/245308 Park, H. and X. Cheng, 2009, Thermoplastic polymer patterning without residual layer by advanced nanoimprinting schemes, Nanotechnology 20, 245308. 

  63. J. Vac. Sci. Technol. B D Pisignano 22 185 2004 10.1116/1.1641056 Pisignano, D., A. Melcarne, D. Mangiullo, R. Cingolani and G. Gigili, 2004, Nanoimprint lithography of chromophore molecules under high-vacuum conditions, J. Vac. Sci. Technol. B 22, 185. 

  64. Appl. Phys. Lett. JA Rogers 73 1766 1998 10.1063/1.122275 Rogers, J.A., M. Meier and A. Dodabalapur, 1998, Using Stamping and Molding Techniques to Produce Distributed Feedback and Bragg Reflector Resonators For Plastic Lasers, Appl. Phys. Lett. 73, 1766. 

  65. Mater. Today JA Rogers 8 50 2005 10.1016/S1369-7021(05)00702-9 Rogers, J.A. and R.G. Nuzzo, 2005, Recent progress in soft lithography. Mater. Today 8, 50. 

  66. JA Rogers 2009 Unconventional Nanopatterning Techniques and Applications Rogers, J.A. and H.H. Lee, 2009, Unconventional Nanopatterning Techniques and Applications, Wiley, New Jersey. 

  67. Angew. Chem. Int. Edn. JP Rolland 43 5796 2004 10.1002/anie.200461122 Rolland, J.P., E.C. Hagberg, G.M. Denison, K.R. Carter and J.M. de Simone, 2004, High-resolution soft lithography: enabling materials for nanotechnologies, Angew. Chem. Int. Edn. 43, 5796. 

  68. Microelectron. Eng. H Schulz 67-68 657 2003 10.1016/S0167-9317(03)00128-X Schulz, H., M. Wissen and H.-C. Scheer, 2003, Local mass transport and its effect on global pattern replication during hot embossing, Microelectron. Eng. 67-68, 657. 

  69. Microelectron. Eng. SM Seo 84 567 2007 10.1016/j.mee.2006.11.008 Seo, S.M., T.I. Kim and H.H. Lee, 2007, Simple fabrication of nanostructure by continuous rigiflex imprinting, Microelectron. Eng. 84, 567. 

  70. Nano C Stuart 3 2062 2009 Stuart, C. and Y. Chen, 2009, Roll in and roll out: a path to highthroughput nanoimprint lithography ACS Nano 3, 2062. 

  71. Adv. Mater. D Suh 17 1554 2005 10.1002/adma.200402010 Suh, D., S.J. Choi and H.H. Lee, 2005, Rigiflex Lithography for Nanostructure Transfer, Adv. Mater. 17, 1554. 

  72. Adv. Mater. KY Suh 13 1386 2001 10.1002/1521-4095(200109)13:18<1386::AID-ADMA1386>3.0.CO;2-X Suh, K.Y., Y.S. Kim and H.H. Lee, 2001, Capillary Force Lithography, Adv. Mater. 13, 1386. 

  73. J. Chem. Phys. KY Suh 116 7714 2002 10.1063/1.1467898 Suh, K.Y., J. Park and H.H. Lee, 2002, Controlled polymer dewetting by physical confinement J. Chem. Phys. 116, 7714. 

  74. J. Vac. Sci. Technol. B H Tan 16 3926 1998 10.1116/1.590438 Tan, H., A. Gilbertson and Y.S. Chou, 1998, Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16, 3926 

  75. J. Micromech. Microeng. AL Vig 21 035006 2011 10.1088/0960-1317/21/3/035006 Vig, A.L., T. Makela, P. Majander, V. Lambertini, J. Ahopelto and A. Kristensen, 2011, Roll-to-roll fabricated lab-on-a-chip devices, J. Micromech. Microeng. 21, 035006. 

  76. Nano Lett. SS Williams 10 1421 2010 10.1021/nl100326q Williams, S.S., S. Retterer, R. Lopez, R. Ruiz, E.T. Samulski and J.M. de Simone, 2010, High-resolution PFPE-based molding techniques for nanofabrication of high-pattern density, sub-20 nm features: a fundamental materials approach, Nano Lett. 10, 1421. 

  77. J. Micromech. Microeng. JT Wu 20 085038 2010 10.1088/0960-1317/20/8/085038 Wu, J.T. and S.Y. Yang, 2010, A gasbag-roller-assisted UV imprinting technique for fabrication of a microlens array on a PMMA substrate, J. Micromech. Microeng. 20, 085038. 

  78. J. Am. Chem. Soc. Y Xia 117 3274 1995 10.1021/ja00116a033 Xia, Y. and G.M. Whitesides, 1995, Use of controlled reactive spreading of liquid alkanethiol on the surface of gold to modify the size of features produced by microcontact Printing, J. Am. Chem. Soc. 117, 3274. 

  79. Angew. Chem. Int. Ed. Y Xia 37 550 1998 10.1002/(SICI)1521-3773(19980316)37:5<550::AID-ANIE550>3.0.CO;2-G Xia, Y. and G.M. Whitesides, 1998, Soft Lithography, Angew. Chem. Int. Ed. 37, 550. 

  80. Chem. Rev. Y Xia 99 1823 1999 10.1021/cr980002q Xia, Y., J.A. Rogers, K.E. Paul and G.M. Whitesides, 1999, Unconventional Methods for Fabricating and Patterning Nanostructures, Chem. Rev. 99, 1823. 

  81. Jpn. J. Appl. Phys. KY Yang 48 095003 2009 10.1143/JJAP.48.095003 Yang, K.Y., K.M. Yoon, J.W. Kim, J.H. Lee and H. Lee, 2009, Low Temperature Fabrication of Residue-Free Polymer Patterns on Flexible Polymer Substrate, Jpn. J. Appl. Phys. 48, 095003. 

  82. J. Micromech. Microeng. LP Yeo 20 015017 2010 10.1088/0960-1317/20/1/015017 Yeo, L.P., S.H. Ng, Z.F. Wang, H.M. Xia, Z.P. Wang, V.S. Thang, Z.W. Zhong and N.F. de Rooij, 2010, Investigation of hot roller embossing for microfluidic devices, J. Micromech. Microeng. 20, 015017. 

  83. Appl. Phys. Lett. H Yoon 85 1793 2004 10.1063/1.1790588 Yoon, H., K.M. Lee, D.Y. Khang, H.H. Lee and S.J. Choi, 2004, Rapid flash patterning of nanostructures, Appl. Phys. Lett. 85, 1793. 

  84. J. Colloid Interf. Sci. H Yoon 346 476 2010 10.1016/j.jcis.2010.03.023 Yoon, H., M.K. Choi, K.Y. Suh and K. Char, 2010, Self-modulating polymer resist patterns in pressure-assisted capillary force lithography, J. Colloid Interf. Sci. 346, 476. 

  85. Langmuir H Yoon 27 7944 2011 10.1021/la201425v Yoon, H., S.H. Lee, S.H. Sung, K.Y. Suh and K. Char, 2011, Mold Design Rules for Residual Layer-Free Patterning in Thermal Imprint Lithography, Langmuir 27, 7944. 

  86. J. Mater. Process. Technol. SW Youn 202 76 2008 10.1016/j.jmatprotec.2007.08.069 Youn, S.W., M. Iwara, H. Goto, M. Takahashi and R. Maeda, 2008, Prototype development of a roller imprint system and its application to large area polymer replication for a microstructured optical device, J. Mater. Process. Technol. 202, 76. 

  87. Rev. Sci. Instrum. D Yun 83 015108 2012 10.1063/1.3675574 Yun, D., Y. Son, J. Kyung, H. Park, C. Park and S. Lee, 2012, Development of roll-to-roll hot embossing system with induction heater for micro fabrication, Rev. Sci. Instrum. 83 015108. 

  88. Nano Lett. J Zaumseil 3 1223 2003 10.1021/nl0344007 Zaumseil, J., M.A. Meitl, J.W.P. Hsu, B.R. Acharya, K.W. Baldwin, Y.L. Loo and J.A. Rogers, 2003, Three-dimensional and multilayer nanostructures formed by nanotransfer printing, Nano Lett. 3 1223. 

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로