$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

[국내논문] DRAM&PCM 하이브리드 메모리 시스템을 위한 능동적 페이지 교체 정책
Active Page Replacement Policy for DRAM & PCM Hybrid Memory System 원문보기

대한임베디드공학회논문지 = IEMEK Journal of embedded systems and applications, v.13 no.5, 2018년, pp.261 - 268  

정보성 (GyeongSang National University(ERI)) ,  이정훈 (GyeongSang National University(ERI))

Abstract AI-Helper 아이콘AI-Helper

Phase Change Memory(PCM) with low power consumption and high integration attracts attention as a next generation nonvolatile memory replacing DRAM. However, there is a problem that PCM has long latency and high energy consumption due to the writing operation. The PCM & DRAM hybrid memory structure i...

주제어

표/그림 (7)

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 평균 메모리 접근시간에서는 제안된 하이브리드 메모리에서 좋은 성능을 보인 반면, 에너지 소비에서는 CLOCK-HM에서 가장 좋은 성능향상을 이루었다. 따라서 본 논문에서는 전체 성능평가를 에너지*지연 지표를 평가하였다. 에너지*지연 지표는 소비 에너지와 평균 메모리 접근 시간의 곱으로 정의 된다.
  • 하이브리드 메모리 시스템에서 PCM의 쓰기 연산에 의해 에너지 소비에 민감하다. 따라서 본 논문에서는 하이브리드 메모리의 에너지 소비를 측정하였다. 그림 5는 각 하이브리드 메모리의 에너지 소비를 나타내고 있다.
  • 더욱이, 이러한 하이브리드 메모리는 CLOCK 알고리즘을 바탕으로 단순히 참조가 발생한 페이지만 확인할 수 있다. 본 논문에서는 기존 CLOCK 알고리즘을 바탕으로 적극적인 근사 LRU 방법을 모색하였다.
  • 본 논문에서는 저전력 및 고집적도 차세대 메인 메모리를 위해 DRAM & PCM 하이브리드 메모리 구조에 대한 새로운 효과적인 페이지 정책을 제안하였다.
  • 이에 차세대 비휘발성 메모리를 이용한 DRAM&PCM 하이브리드 메모리를 위한 효과적인 페이지 교체 정책을 제안하였다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
PCM의 특징은 무엇인가? PCM은 DRAM처럼 바이트-주소 접근이 가능하며, DRAM에 비해 약 4배의 높은 집적도를 가진다. 또한 DRAM과 달리 비휘발성 메모리로 데이터를 유지하기 위한 재충전 동작(reflash)이 필요하지 않다[5].
PCM을 메인 메모리로 사용하기 위해 단점을 해결하기 위한 대표적인 방법으로 무엇이 제안되었는가? 따라서 PCM을 메인 메모리로 사용하기 위해 이러한 단점을 해결하기 위한 대표적인 방법으로 DRAM과 PCM을 같이 사용하는 하이브리드 메모리 구조가 제안되었다 [7-9].
DRAM의 에너지 소비 중 대부분은 무엇인가? 현재 컴퓨팅 시스템에서 메인 메모리인 DRAM은 전체 전력소비에서 약 40%를 차지하고 있다. 더욱이 이러한 에너지 소비는 대부분 데이터를 유지하는 리플래시 동작 및 누설 전류가 대부분이다. 또한 DRAM은 현재 집적도의 한계를 보이고 있다.
질의응답 정보가 도움이 되었나요?

참고문헌 (14)

  1. T.J. Pack, W.Y. Jang, "Large-scale Last- level Cache Design Based on Parallel TLC STT-MRAM," Jounal of KIIT, Vol. 15, No, 12, pp. 77-89, 2017. 

  2. P.P. Palangappa, J.Li, K. Mohanram, WOM -Code Solutions for Low Latency and High Endurance in Phase Change Memory," IEEE Transactions on Computers, 2016, Vol. 65, Issue 4, pp. 1025-1040. 

  3. A. Awad, B. Kettreing, and Y. Solihin, "Non-volatile memory host controller interface performance analysis in high- performance I/O systems," ISPASS, 2015 IEEE International Symposium on, 2015, pp. 145-153. 

  4. J.C. Mogul, E. Argollo, M. Shah, and P. Faraboschi, "Operation system support for NVM+DRAM hybrid main memory," HotOS' 09 Proceedings of the 12th conference on Hot topics in operating systems. 2009, pp.4-14. 

  5. H. Seok, Y. Pack, K. W. Park, K. H. Pack, “Efficient Page Caching Algorithm With Prediction and Migration for a Hybrid Main Memory,” ACM, SIGAPP Applied Computing Review, Vol. 11 , No. 4, pp. 38-48, 2011. 

  6. S. I. Jang, S. K. Yoon, "Data Classification Management With its Interfacing Structure for Hybrid SLC/MLC PRAM Main Memory," Jounal of the Computer Journal, Vol. 58, No. 11, pp. 2852-2863, 2015. 

  7. S. Y. Lee, H. K. Bahn S. H. Noh, "CLOCK-DWF: A Write-History-Aware Page Replacement Algorithm for Hybrid PCM and DRAM Memory Architectures," IEEE Transactions on Computers, Vol. 63, No. 9, pp. 2187-2200, 2014. 

  8. X. Cai, L. Ju, M. Zhao, Z. Sun, Z. Jia, "A Novel Page Caching Policy for PCM and DRAM of Hybrid Memory Architecture," Proceedings of 13th ICESS., pp.67-73, 2016. 

  9. K. M Lee, J. H. Choi, J. W. Kwak, "WAP_LRU: Write Pattern Analysis Rased Hybrid Disk Buffer Management in Flash Storage Systems," IEMEK J. Embed. Sys. Vol. 13, No. 3, pp. 151-160, 2018 (in Korean). 

  10. M. K. Qureshi, S. Vijayalakshmi, J. A. Rivers, "Scalable High Performance Main Memory System Using Phase-Change Memory Technology," Proceedings of the 36th annual international symposium on Computer architecture, pp. 24-33, 2009. 

  11. K. Y. Park, S. K. Yoon, S. D. Kim, "Selective Data Buffering Module for Unified Hybrid Storage System," Proceedings of 14th International Conference on Computer and Information Science, PP. 173-178, 2015. 

  12. C. Chen J. An, "DRAM Write-only-cache for Improving Lifetime of Phase Change Memory," Proceedings of International Midwest Symposium on Circuits and Systems, pp. 1-4, 2016. 

  13. N. Nethercote, J. Seward, “Valgrind: A Program Supervision Framwork,” Elsevier Electonc Notes in Theoretical Computer Science, Vol. 89, No. 2, pp. 44-66, 2003. 

  14. Micron Tech, "Phase Change Memory: A new Memory Technology to Enable new Memory Usage Models," Available on: http://www.numonyx.comDocuments/WhitePapers/Numonyx_phaseChangeMemory_WhitePaper.pdf. 

저자의 다른 논문 :

관련 콘텐츠

오픈액세스(OA) 유형

BRONZE

출판사/학술단체 등이 한시적으로 특별한 프로모션 또는 일정기간 경과 후 접근을 허용하여, 출판사/학술단체 등의 사이트에서 이용 가능한 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로