$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

완전한 파이프라인 방식의 비순차실행 프로세서의 설계 및 모의실행
Design and Simulation for Out-of-Order Execution Processor of a Fully Pipelined Scheme 원문보기

The journal of the institute of internet, broadcasting and communication : JIIBC, v.20 no.5, 2020년, pp.143 - 149  

이종복 (한성대학교 기계전자공학부)

초록
AI-Helper 아이콘AI-Helper

현재 컴퓨터 시스템의 중앙처리장치멀티코어 프로세서가 주로 이용되고 있으며, 고성능의 비순차실행 프로세서를 각 코어로 채택하여 시스템의 성능을 극대화할 수 있다. 초기의 토마술로 알고리즘을 적용한 비순차실행 프로세서는 부동소수점 명령어를 목표로 하였고, 복잡한 구조를 갖는 재배열버퍼와 예약스테이션의 사용 때문에 그 실행에 여러 싸이클이 소요되었다. 그러나, 프로세서가 비순차실행을 제대로 활용하여 명령어의 처리량을 높이기 위해서는 완전한 파이프라인 방식으로 동작해야한다. 본 논문에서는 예측실행 기능이 있는 완전한 파이프라인 방식의 비순차실행 프로세서를 VHDL로 설계하고, GHDL로 검증하였다. 모의실험 결과, ARM 명령어로 구성된 프로그램에 대한 연산을 성공적으로 수행할 수 있었다.

Abstract AI-Helper 아이콘AI-Helper

Currently, a multi-core processor is mainly used as a central processing unit of a computer system, and a high-performance out-of-order processor is adopted as each core to maximize system performance. The early out-of-order execution processor with Tomasulo algorithm aimed at floating-point instruc...

주제어

표/그림 (4)

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 논문에서는 빈번한 분기어에 의한 제어종속이 성능에 큰 영향을 끼치므로, 파이프라인 방식의 비순차실행에 하드웨어 기반의 예측실행 기능을 추가하였다. 하드웨어 기반의 예측실행은 동적 분기 예측, 예측실행, 및 동적 스케줄링으로 구성된다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
파이프라인 구조는? 파이프라인은 데이터 처리단의 출력이 다음단의 입력으로 이어지는 형태로 여러 단이 연결된 구조이며, 각 단마다 데이터를 저장하기 위해 버퍼가 사용된다. 이렇게 연결된 여러 데이터 처리단은 병렬적으로 동시 수행될 수 있어 처리량을 늘릴 수 있다.
예약스테이션의 기능이란? 예약스테이션의 기능은 피연산자가 준비된 즉시 예약 스테이션에 등록함으로써 프로세서가 레지스터로부터 피연산자를 가져오지 않고도 예약스테이션에서 공급받고, 피연산자가 아직 준비되지 않은 명령어는 그것을 공급할 예약스테이션을 가리키도록 함으로써 레지스터 재명명 기능을 간접적으로 수행하는 것이다. 예약스테이션을 이용함으로써 해저드(hazard) 감지와 실행제어가 분산되며, 연산 결과들이 레지스터를 통하지 않고 예약스테이션으로부터 연산유닛으로 직접 공급된다.
본 논문에서 VHDL을 이용하여 파이프라인 방식을 이용하는 비순차실행 프로세서를 설계한 이유는? 본 연구에서 VHDL을 이용하여 파이프라인 방식을 이용하는 비순차실행 프로세서를 설계하였다. 그 이유는 VHDL이 Verilog보다 높은 수준의 추상화가 가능한 고급 프로그래밍 언어로서, 다양한 데이터 형식을 표현할 수가 있기 때문이다. 특히, VHDL은 Verilog에서 포함되지 않는 레코드 자료구조를 지원하고 있어서 하드웨어를 설계할 때 복잡도를 줄이고 효율성을 높일 수 있다.
질의응답 정보가 도움이 되었나요?

참고문헌 (6)

  1. R. M. Tomasulo, "An Efficient Algorithm for Exploiting Multiple Arithmetic Units," IBM Journal of Research and Development, Vol. 11, Issue. 1, pp. 25-33, Jan 1967. DOI:https://doi.org/10.1147/rd.111.0025 

  2. K. C. Yeager, "The Mips R10000 superscalar microprocessor," IEEE Micro, Vol. 16, No. 2, pp. 28-41, April 1996. DOI:https://doi.org/ 10.1109/40.491460. 

  3. ARM Architecure Reference Manual. DOI:http://infocenter.arm.com/help/index.jsp?topic/com.arm.doc.subset.architecture.reference/index.html 

  4. J. L. Hennessy, and D. A. Patterson, "Computer Architecture A Quantitative Approach", 6th Edition, 2018. DOI:https://dl.acm.org/doi/book/10.5555/3207796 

  5. S. L. Harris, and D. M. Harris, "Digital Design and Computer Architecture ARM Edition", Elsevier Korea LLC, 2016. DOI:https://doi.org/10.1016/C2018-O-14352-8. 

  6. J. Lee, "Design and Simulation of ARM Processor using VHDL," Journal of The Institute of Internet, Broadcasting and Communication, vol. 18, no. 5, pp. 229-235, Oct. 2018. DOI:https://doi.org/10.7236/JIIBC.2018.18.5.229. 

저자의 다른 논문 :

관련 콘텐츠

오픈액세스(OA) 유형

BRONZE

출판사/학술단체 등이 한시적으로 특별한 프로모션 또는 일정기간 경과 후 접근을 허용하여, 출판사/학술단체 등의 사이트에서 이용 가능한 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로