$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Three-Dimesnional Semicondoctor Stacking using TSV(Through-Si-Via) Technology 원문보기

Journal of welding and joining = 대한용접·접합학회지, v.39 no.3, 2021년, pp.295 - 303  

Cho, Do Hoon ,  Kang, Hye Jun ,  Seo, Seong Min ,  Kim, Jang Baeg ,  Rajendran, Sri Harini ,  Jung, Jae Pil

초록이 없습니다.

참고문헌 (36)

  1. Kikuchi, Katsuya. 3D-IC Technology for Contribution to the IoT Society. エレクトロニクス室裝學會誌 = Journal of the Japan Institute of Electronics Packaging, vol.22, no.6, 501-506.

  2. 10.1109/ETS.2016.7519330 

  3. Nishida, Hideyuki. Packaging Technologies for HPC/AI Applications in New Intelligence Era. エレクトロニクス室裝學會誌 = Journal of the Japan Institute of Electronics Packaging, vol.23, no.7, 562-572.

  4. 2019 

  5. Watanabe, Yoshiyuki, Yahagi, Toru, Abe, Yutaka, Murayama, Hiroki, Kunori, Shinji, Yoshida, Kenichi, Sashida, Kazuyuki, Arai, Daisuke, Ikeda, Katsuya. MEMS Rogowski Coil with TSV Structure for Overcurrent Detection in Power Device. 電氣學會論文誌. IEEJ transactions on sensors and micromachines. E, センサ·マイクロマシン部門誌, vol.139, no.8, 238-243.

  6. 노명훈, 이준형, 김원중, 정재필, 김형태. 3차원 패키징을 위한 TSV의 다양한 Cu 충전 기술. 大韓溶接·接合學會誌 = Journal of the Korean Welding and Joining Society, vol.31, no.3, 11-16.

  7. Calata, J.N., Bai, J.G., Liu, Xingsheng, Wen, Sihua, Lu, Guo-Quan. Three-dimensional packaging for power semiconductor devices and modules. IEEE transactions on advanced packaging : a publication of the IEEE Components, Packaging, and Manufacturing Technology Society and the Lasers and Electro Optics Society, vol.28, no.3, 404-412.

  8. 10.1109/ectc.2004.1319402 

  9. 10.1109/IITC.1998.704781 

  10. Di- mensional Packaging Technology Using Via Hong 137 2006 

  11. 10.1109/EPTC.2005.1614358 

  12. Tachi, Shinichi, Tsujimoto, Kazunori, Okudaira, Sadayuki. Low-temperature reactive ion etching and microwave plasma etching of silicon. Applied physics letters, vol.52, no.8, 616-618.

  13. Addae-Mensah, K.A., Retterer, S., Opalenik, S.R., Thomas, D., Lavrik, N.V., Wikswo, J.P.. Cryogenic Etching of Silicon: An Alternative Method for Fabrication of Vertical Microcantilever Master Molds. Journal of microelectromechanical systems : a joint IEEE and ASME publication on microstructures, microactuators, microsensors, and microsystems, vol.19, no.1, 64-74.

  14. Huang, Zhipeng, Geyer, Nadine, Werner, Peter, de Boor, Johannes, Gösele, Ulrich. Metal‐Assisted Chemical Etching of Silicon: A Review. Advanced materials, vol.23, no.2, 285-308.

  15. Silicon etching technique for fabrication high aspect ratio vertical structures using metal-assisted chemical etching (MacEtch) Matsuo 56 2018 

  16. Chartier, C., Bastide, S., Levy-Clement, C.. Metal-assisted chemical etching of silicon in HF-H2O2. Electrochimica acta, vol.53, no.17, 5509-5516.

  17. Corporate Manufacturing Engineering Center TOSHIBA Corporation 543 2020 

  18. 홍성철, 이왕구, 박준규, 김원중, 정재필. 3차원 칩 패키징을 위한 TSV내 전도금속 충전 및 non-PR 범프 형성. 大韓溶接·接合學會誌 = Journal of the Korean Welding and Joining Society, vol.29, no.1, 9-13.

  19. Roh, Myong-Hoon, Sharma, Ashutosh, Lee, Jun-Hyeong, Jung, Jae-Pil. Extrusion Suppression of TSV Filling Metal by Cu-W Electroplating for Three-Dimensional Microelectronic Packaging. Metallurgical and materials transactions. A, Physical metallurgy and materials science, vol.46, no.5, 2051-2062.

  20. Ahmed, W., Ahmed, E., Dost, A.A.. Chemical vapour deposition (CVD) of borophosphosilicate glass films. Journal of materials science. Materials in electronics, vol.7, no.2,

  21. Copper Filling to TSV(Through-Si-Via) and Simplification of Bumping Process Hong 79 2010 

  22. Sung Chul Hong, Do Hyun Jung, Wang Gu Lee, Wonjoong Kim, Jae Pil Jung. Non-PR Sn-3.5Ag Bumping on a Fast Filled Cu-Plug by PPR Current. IEEE transactions on components, packaging, and manufacturing technology, vol.3, no.4, 574-580.

  23. Kim, Bong-Hwan, Kim, Hyun-Chul, Chun, Kukjin, Ki, Junghee, Tak, Yongsug. Cantilever-Type Microelectromechanical Systems Probe Card with Through-Wafer Interconnects for Fine Pitch and High-Speed Testing. Japanese journal of applied physics. Part 1, Regular papers, short notes and review papers, vol.43, no.b6, 3877-3881.

  24. Effective Cu Filling Method to TSV for 3-dimensional Si Chip Stacking. 대한금속 . 재료학회지 = Korean journal of metals and materials, vol.50, no.2, 152-158.

  25. Hofmann, L., Ecke, R., Schulz, S.E., Gessner, T.. Investigations regarding Through Silicon Via filling for 3D integration by Periodic Pulse Reverse plating with and without additives. Microelectronic engineering, vol.88, no.5, 705-708.

  26. 이순재, 장영주, 이준형, 정재필. Wafer 레벨에서의 위치에 따른 TSV의 Cu 충전거동. 마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, vol.21, no.4, 91-96.

  27. 홍성철, 김원중, 정재필. 3차원 실장용 TSV 고속 Cu 충전 및 Non-PR 범핑. 마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, vol.18, no.4, 49-53.

  28. Jhothiraman, Jivaan Kishore, Balachandran, Rajesh. Electroplating: Applications in the Semiconductor Industry. Advances in chemical engineering and science, vol.9, no.2, 239-261.

  29. Gabrielli, C., Moçotéguy, P., Perrot, H., Nieto-Sanz, D., Zdunek, A.. A model for copper deposition in the damascene process. Electrochimica acta, vol.51, no.8, 1462-1472.

  30. Hong, Sung Chul, Kumar, Santosh, Jung, Do Hyun, Kim, Won Joong, Jung, Jae Pil. High speed Cu-Ni filling into TSV for 3-Dimensional Si chip stacking. Metals and materials international, vol.19, no.1, 123-128.

  31. Hoang, Van Ha, Kondo, Kazuo. Extreme fast filling of conical shape through-silicon vias in 3minutes and additive optimization. Electrochimica acta, vol.212, 270-276.

  32. Jung, Hoon Sun, Jang, Young-Joo, Choa, Sung-Hoon, Jung, Jae Pil. Lower Protrusion of a Copper-Nickel Alloy in a Through-Silicon via and Its Numerical Simulation. Materials transactions, vol.56, no.12, 2034-2041.

  33. Zhang, Xiaowu, Lin, Jong Kai, Wickramanayaka, Sunil, Zhang, Songbai, Weerasekera, Roshan, Dutta, Rahul, Chang, Ka Fai, Chui, King-Jien, Li, Hong Yu, Wee Ho, David Soon, Ding, Liang, Katti, Guruprasad, Bhattacharya, Suryanarayana, Kwong, Dim-Lee. Heterogeneous 2.5D integration on through silicon interposer. Applied physics reviews : APR, vol.2, no.2, 021308-.

  34. 10.1109/IPFA.2016.7564305 

  35. Temporary Bonding and De-bonding Process for 2.5D/3D Appli- cations Ren 27 2020 

  36. Ishida, Hiroyuki, Lutter, Stefan. Permanent Wafer Bonding and Temporary Wafer Bonding / De-Bonding Technology Using Temperature Resistant Polymers. フォトポリマ-懇話會誌= Journal of photopolymer science and technology, vol.27, no.2, 173-176.

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로