$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Atomic Layer Etching of SiO2 for Nanoscale Semiconductor Devices: A Review 원문보기

Applied science and convergence technology, v.33 no.1, 2024년, pp.1 - 6  

Hong, Daeun (School of Chemical Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea) ,  Kim, Yongjae (SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon 16419, Republic of Korea) ,  Chae, Heeyeop (School of Chemical Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea)

초록이 없습니다.

참고문헌 (46)

  1. Coburn, J. W., Winters, H. F., Chuang, T. J.. Ion-surface interactions in plasma etching. Journal of applied physics, vol.48, no.8, 3532-3540.

  2. Winters, Harold F., Coburn, J.W.. Surface science aspects of etching reactions. Surface science reports, vol.14, no.4, 162-269.

  3. Palumbo, Felix, Wen, Chao, Lombardo, Salvatore, Pazos, Sebastian, Aguirre, Fernando, Eizenberg, Moshe, Hui, Fei, Lanza, Mario. A Review on Dielectric Breakdown in Thin Dielectrics: Silicon Dioxide, High‐k, and Layered Dielectrics. Advanced functional materials, vol.30, no.18, 1900657-.

  4. Donnelly, Vincent M., Kornblit, Avinoam. Plasma etching: Yesterday, today, and tomorrow. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.31, no.5, 050825-.

  5. Faraz, T., Roozeboom, F., Knoops, H. C. M., Kessels, W. M. M.. Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?. ECS journal of solid state science and technology : jss, vol.4, no.6, N5023-N5032.

  6. Kanarik, Keren J., Lill, Thorsten, Hudson, Eric A., Sriraman, Saravanapriyan, Tan, Samantha, Marks, Jeffrey, Vahedi, Vahid, Gottscho, Richard A.. Overview of atomic layer etching in the semiconductor industry. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.33, no.2, 020802-.

  7. Oehrlein, G. S., Metzler, D., Li, C.. Atomic Layer Etching at the Tipping Point: An Overview. ECS journal of solid state science and technology : jss, vol.4, no.6, N5041-N5053.

  8. 10.1116/1.5134710 Y. Kim, S. Lee, Y. Cho, S. Kim, and H. Chae, J. Vac. Sci. Technol. A 38, 022606 (2020). 

  9. 10.1002/ppap.202300216 J. Kim, H. Kang, Y. Kim, M. Jeon, and H. Chae, Plasma Processes Polym , e2300216 (2024). 

  10. Sung, Suk-Kang, Kim, Tae-Yong, Cho, Eun Suk, Cho, Hye Jin, Choi, Byung Yong, Oh, Chang Woo, Cho, Byung-Kyu, Lee, Choong-Ho, Park, Donggun. Fully integrated SONOS flash memory cell array with BT (body tied)-FinFET structure. IEEE transactions on nanotechnology, vol.5, no.3, 174-179.

  11. Yun, Hyung-Jin, Kim, Tae-Ho, Shin, Chee-Burm, Kim, Chang-Koo, Min, Jae-Ho, Moon, Sang-Heup. Comparison of atomic scale etching of poly-Si in inductively coupled Ar and He plasmas. Korean journal of chemical engineering, vol.24, no.4, 670-673.

  12. Johnson, Nicholas R., George, Steven M.. WO3 and W Thermal Atomic Layer Etching Using “Conversion-Fluorination” and “Oxidation-Conversion-Fluorination” Mechanisms. ACS applied materials & interfaces, vol.9, no.39, 34435-34447.

  13. Kim, Jihyun, Shim, Dahee, Kim, Yongjae, Chae, Heeyeop. Atomic layer etching of Al2O3 with NF3 plasma fluorination and trimethylaluminum ligand exchange. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.40, no.3, 032603-.

  14. Lee, Yebin, Kim, Yongjae, Son, Jiwon, Chae, Heeyeop. Low-temperature plasma atomic layer etching of molybdenum via sequential oxidation and chlorination. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.40, no.2, 022602-.

  15. Shim, Dahee, Kim, Jihyun, Kim, Yongjae, Chae, Heeyeop. Plasma atomic layer etching for titanium nitride at low temperatures. Journal of vacuum science and technology. materials, processing, measurement, & phenomena : JVST B. B, Nanotechnology & microelectronics, vol.40, no.2, 022208-.

  16. Johnson, Nicholas R., Sun, Huaxing, Sharma, Kashish, George, Steven M.. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac)2 reactions and enhancement by H2 and Ar plasmas. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.34, no.5, 050603-.

  17. Lee, Younghee, George, Steven M.. Thermal Atomic Layer Etching of Titanium Nitride Using Sequential, Self-Limiting Reactions: Oxidation to TiO2 and Fluorination to Volatile TiF4. Chemistry of materials : a publication of the American Chemical Society, vol.29, no.19, 8202-8210.

  18. Cano, Austin M., Marquardt, Amy E., DuMont, Jaime W., George, Steven M.. Effect of HF Pressure on Thermal Al2O3 Atomic Layer Etch Rates and Al2O3 Fluorination. The journal of physical chemistry. C, Nanomaterials and Interfaces, vol.123, no.16, 10346-10355.

  19. George, Steven M.. Mechanisms of Thermal Atomic Layer Etching. Accounts of chemical research, vol.53, no.6, 1151-1160.

  20. 10.1002/ppap.202300161 Y. Kim, H. Kang, H. Ha, M. Choi, M. Jeon, S. M. Cho, and H. Chae, Plasma Processes Polym , e2300161 (2023). 

  21. Kim, Yongjae, Kang, Hojin, Ha, Heeju, Kim, Changkoo, Cho, Sungmin, Chae, Heeyeop. Plasma atomic layer etching of molybdenum with surface fluorination. Applied surface science, vol.627, 157309-.

  22. Kawakami, Masatoshi, Metzler, Dominik, Li, Chen, Oehrlein, Gottlieb S.. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.34, no.4, 040603-.

  23. 10.1116/1.4954961 C. Li, D. Metzler, C. S. Lai, E. A. Hudson, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 041307 (2016). 

  24. 10.1116/1.4935462 D. Metzler, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 01B101 (2016). 

  25. DuMont, Jaime W., Marquardt, Amy E., Cano, Austin M., George, Steven M.. Thermal Atomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using Sequential Reactions of Trimethylaluminum and Hydrogen Fluoride. ACS applied materials & interfaces, vol.9, no.11, 10296-10307.

  26. Gasvoda, Ryan J., van de Steeg, Alex W., Bhowmick, Ranadeep, Hudson, Eric A., Agarwal, Sumit. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2. ACS applied materials & interfaces, vol.9, no.36, 31067-31075.

  27. Kaler, Sanbir S, Lou, Qiaowei, Donnelly, Vincent M, Economou, Demetre J. Atomic layer etching of silicon dioxide using alternating C4F8 and energetic Ar+ plasma beams. Journal of physics. D, applied physics, vol.50, no.23, 234001-.

  28. Metzler, Dominik, Li, Chen, Lai, C Steven, Hudson, Eric A, Oehrlein, Gottlieb S. Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate. Journal of physics. D, applied physics, vol.50, no.25, 254006-.

  29. Tsutsumi, Takayoshi, Kondo, Hiroki, Hori, Masaru, Zaitsu, Masaru, Kobayashi, Akiko, Nozawa, Toshihisa, Kobayashi, Nobuyoshi. Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.35, no.1, 01A103-.

  30. Koh, Kyongbeom, Kim, Yongjae, Kim, Chang-Koo, Chae, Heeyeop. Quasi atomic layer etching of SiO2 using plasma fluorination for surface cleaning. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.36, no.1, 01B106-.

  31. Lin, Kang-Yi, Li, Chen, Engelmann, Sebastian, Bruce, Robert L., Joseph, Eric A., Metzler, Dominik, Oehrlein, Gottlieb S.. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.36, no.4, 040601-.

  32. Rahman, Rezwanur, Mattson, Eric C., Klesko, Joseph P., Dangerfield, Aaron, Rivillon-Amy, Sandrine, Smith, David C., Hausmann, Dennis, Chabal, Yves J.. Thermal Atomic Layer Etching of Silica and Alumina Thin Films Using Trimethylaluminum with Hydrogen Fluoride or Fluoroform. ACS applied materials & interfaces, vol.10, no.37, 31784-31794.

  33. Dallorto, Stefano, Goodyear, Andy, Cooke, Mike, Szornel, Julia E., Ward, Craig, Kastl, Christoph, Schwartzberg, Adam, Rangelow, Ivo W., Cabrini, Stefano. Atomic layer etching of SiO2 with Ar and CHF3 plasmas: A self‐limiting process for aspect ratio independent etching. Plasma Processes and Polymers, vol.16, no.9, 1900051-.

  34. Gasvoda, Ryan J., Verstappen, Yuri G. P., Wang, Scott, Hudson, Eric A., Agarwal, Sumit. Surface prefunctionalization of SiO2 to modify the etch per cycle during plasma-assisted atomic layer etching. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.37, no.5, 051003-.

  35. 10.1116/1.5132986 Y. Cho, Y. Kim, S. Kim, and H. Chae, J. Vac. Sci. Technol. A 38, 022604 (2020). 

  36. Antoun, G., Tillocher, T., Lefaucheux, P., Faguet, J., Maekawa, K., Dussart, R.. Mechanism understanding in cryo atomic layer etching of SiO 2 based upon C 4 F 8 physisorption. Scientific reports, vol.11, 357-.

  37. Fischer, Andreas, Routzahn, Aaron, George, Steven M., Lill, Thorsten. Thermal atomic layer etching: A review. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.39, no.3, 030801-.

  38. Gasvoda, Ryan J., Zhang, Zhonghao, Hudson, Eric A., Agarwal, Sumit. Gas-phase surface functionalization of SiNx with benzaldehyde to increase SiO2 to SiNx etch selectivity in atomic layer etching. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.39, no.4, 040401-.

  39. Gill, You Jung, Kim, Doo San, Gil, Hong Seong, Kim, Ki Hyun, Jang, Yun Jong, Kim, Ye Eun, Yeom, Geun Young. Cyclic etching of silicon oxide using NF3/H2 remote plasma and NH3 gas flow. Plasma Processes and Polymers, vol.18, no.11, 2100063-.

  40. Yoon, Min Young, Yeom, H. J., Kim, Jung Hyung, Chegal, Won, Cho, Yong Jai, Kwon, Deuk-Chul, Jeong, Jong-Ryul, Lee, Hyo-Chang. Discharge physics and atomic layer etching in Ar/C4F6 inductively coupled plasmas with a radio frequency bias. Physics of plasmas, vol.28, no.6, 063504-.

  41. Kim, Seon Yong, Park, In-Sung, Ahn, Jinho. Atomic layer etching of SiO2 using trifluoroiodomethane. Applied surface science, vol.589, 153045-.

  42. Miyoshi, Nobuya, Kobayashi, Hiroyuki, Shinoda, Kazunori, Kurihara, Masaru, Kawamura, Kohei, Kouzuma, Yutaka, Izawa, Masaru. Atomic layer etching of SiO2 with self-limiting behavior on the surface modification step using sequential exposure of HF and NH3. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.40, no.1, 012601-.

  43. Kim, Jae Hak, Kim, Seo Young, Choi, Joonho, Lee, Hyun Jong. Visible Light-Mediated Environmentally Friendly and Universally Applicable Green Chemistry for Hair Cross-Linking. ACS sustainable chemistry et engineering, vol.11, no.27, 10029-10040.

  44. Mogab, C. J., Adams, A. C., Flamm, D. L.. Plasma etching of Si and SiO2-The effect of oxygen additions to CF4 plasmas. Journal of applied physics, vol.49, no.7, 3796-3803.

  45. Doh, Hyun-Ho, Kim, Jung-Hun, Whang, Ki-Woong, Lee, Seok-Hyun. Effect of hydrogen addition to fluorocarbon gases (CF4, C4F8) in selective SiO2/Si etching by electron cyclotron resonance plasma. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.14, no.3, 1088-1091.

  46. Marra, Denise C., Aydil, Eray S.. Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films. Journal of vacuum science & technology. A, Vacuum, surfaces, and films, vol.15, no.5, 2508-2517.

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로