$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Source/drain extension control for advanced transistors 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-021/336
  • H01L-021/70
  • H01L-029/02
출원번호 US-0770313 (2013-02-19)
등록번호 US-8563384 (2013-10-22)
발명자 / 주소
  • Ranade, Pushkar
  • Shifren, Lucian
  • Sonkusale, Sachin R.
출원인 / 주소
  • SuVolta, Inc.
대리인 / 주소
    Baker Botts L.L.P.
인용정보 피인용 횟수 : 7  인용 특허 : 403

초록

A planar transistor with improved performance has a source and a drain on a semiconductor substrate that includes a substantially undoped channel extending between the source and the drain. A gate is positioned over the substantially undoped channel on the substrate. Implanted source/drain extension

대표청구항

1. A transistor without halo implants, comprising: a gate;a source region;a drain region;an undoped epitaxially grown channel layer below the gate and extending between the source region and the drain region;a first highly doped layer below the channel layer and coextensive therewith;a second highly

이 특허에 인용된 특허 (403)

  1. Voldman Steven Howard, 3-D CMOS-on-SOI ESD structure and method.
  2. Jin,Been Yih; Doyle,Brian S.; Chau,Robert S.; Kavalieros,Jack T., Abrupt junction formation by atomic layer epitaxy of in situ delta doped dopant diffusion barriers.
  3. Cardone, Frank; Chu, Jack Oon; Ismail, Khalid EzzEldin, Abrupt “delta-like” doping in Si and SiGe films by UHV-CVD.
  4. Tschanz,James W.; Kurd,Nasser; Narendra,Siva G.; Barkatullah,Javed; De,Vivek K., Adaptive body bias for clock skew compensation.
  5. Sheng, Eric Chen Li; Ward, Matthew Robert, Adaptive control of operating and body bias voltages.
  6. Gammie,Gordon; Wang,Alice; Ko,Uming U.; Scott,David B., Adaptive voltage control and body bias for performance and energy optimization.
  7. Nandakumar, Mahalingam; Zhao, Song; Kim, Youngmin, Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness.
  8. Babcock, Jeffrey A.; Pinto, Angelo; Balster, Scott; Haeusler, Alfred; Howard, Gregory E., Advanced CMOS using super steep retrograde wells.
  9. Babcock, Jeffrey A.; Pinto, Angelo; Balster, Scott; Haeusler, Alfred; Howard, Gregory E., Advanced CMOS using super steep retrograde wells.
  10. Babcock, Jeffrey A.; Pinto, Angelo; Balster, Scott; Haeusler, Alfred; Howard, Gregory E., Advanced CMOS using super steep retrograde wells.
  11. Babcock,Jeffrey A.; Pinto,Angelo; Balster,Scott; Haeusler,Alfred; Howard,Gregory E., Advanced CMOS using super steep retrograde wells.
  12. Babcock,Jeffrey A.; Pinto,Angelo; Balster,Scott; Haeusler,Alfred; Howard,Gregory E., Advanced CMOS using super steep retrograde wells.
  13. Babcock,Jeffrey A.; Pinto,Angelo; Balster,Scott; Haeusler,Alfred; Howard,Gregory E., Advanced CMOS using super steep retrograde wells.
  14. Martinez, Marino J.; Schirmann, Ernest; Hartin, Olin L.; Rampley, Colby G.; Sadaka, Mariam G.; Weitzel, Charles E.; Costa, Julio, Advanced RF enhancement-mode FETs with improved gate properties.
  15. Liu Yowjuang W. (San Jose CA) Chang Kuang-Yeh (Los Gatos CA), Advanced transistor structures with optimum short channel controls for high density/high performance integrated circuits.
  16. Zollner,Stefan; Dhandapani,Veeraraghavan; Grudowski,Paul A.; Spencer,Gregory S., Anneal of epitaxial layer in a semiconductor device.
  17. Sadra, Kayvan; Tsao, Alwin; Sridhar, Seetharaman; Chatterjee, Amitava, Application of different isolation schemes for logic and embedded memory.
  18. Berger, Israel; Dyagilev, Kirill; Ramm, Dov; Sheinman, Benjamin; Shlomo, Oren, Automated migration of analog and mixed-signal VLSI design.
  19. Yilmaz Hamza (Saratoga CA) Williams Richard K. (Cupertino CA) Cornell Michael E. (Campbell CA) Chen Jun W. (Saratoga CA), BICDMOS structures.
  20. Schubert Erdmann (Stuttgart DEX) Ploog Klaus (Stuttgart DEX) Fischer Albrecht (Lchgau DEX), Bipolar transistor with monoatomic base layer between emitter and collector layers.
  21. Liu, Jonathan H.; Kang, Wonjae L., Body bias compensation for aged transistors.
  22. Gamal Abbas El ; Marple David P. ; Reyneri Justin M., CAD and simulation system for targeting IC designs to multiple fabrication processes.
  23. Bernstein, Kerry; Bryant, Andres; Haensch, Wilfried, CMOS back-gated keeper technique.
  24. Ranade, Pushkar; Zawadzki, Keith E., CMOS device with dual-epi channels and self-aligned contacts.
  25. Bae, Geum-jong; Choe, Tae-hee; Kim, Sang-su; Rhee, Hwa-sung; Lee, Nae-in; Lee, Kyung-wook, CMOS integrated circuit devices and substrates having buried silicon germanium layers therein and method of forming same.
  26. Haensch,Wilfried; Hook,Terence B.; Hsu,Louis C.; Joshi,Rajiv V.; Rausch,Werner, CMOS well structure and method of forming the same.
  27. Drobny, Vladimir F.; Liu, Dennis D., Carbon doped epitaxial layer for high speed CB-CMOS.
  28. Datta,Suman; Radosavljevic,Marko; Doyle,Brian; Kavalieros,Jack; Brask,Justin; Majumdar,Amlan; Chau,Robert S., Carbon nanotube energy well (CNEW) field effect transistor.
  29. Turner,Mark F.; Byrn,Jonathan W.; Brown,Jeffrey S., Cell library management for power optimization.
  30. Wang, Zhongze; Yang, Rongsheng, Channel implant through gate polysilicon.
  31. Chan,Kevin K.; Chen,Huajie; Gribelyuk,Michael A.; Holt,Judson R.; Lee,Woo Hyeong; Mitchell,Ryan M.; Mo,Renee T.; Mocuta,Dan M.; Rausch,Werner A.; Ronsheim,Paul A.; Utomo,Henry K., Chemical treatment to retard diffusion in a semiconductor overlayer.
  32. Jaehne, Rolf; Dathe, Lutz; Huschka, Andreas, Circuit and a method for controlling the bias current in a switched capacitor circuit.
  33. Sidiropoulos, Stefanos, Clock alignment circuit having a self regulating voltage supply.
  34. Passlack,Matthias, Complementary metal-oxide-semiconductor field effect transistor structure having ion implant in only one of the complementary devices.
  35. Williams Richard K. (Cupertino CA) Blanchard Richard A. (Los Altos CA), Complementary, isolated DMOS IC technology.
  36. Twynam, John, Compound semiconductor FET.
  37. Oikawa, Hirokazu; Negishi, Hitoshi, Compound semiconductor device with delta doped layer under etching stopper layer for decreasing resistance between active layer and ohmic electrode and process of fabrication thereof.
  38. Nakano, Tsuyoshi, Compound semiconductor epitaxial substrate and method for producing the same.
  39. Heavlin William D. ; Kittler Richard C. ; Wen Ping, Computer implemented method for estimating fabrication yield for semiconductor integrated circuit including memory blo.
  40. Dewey, III, Lewis William; El Moselhy, Tarek Ali; Elfadel, Ibrahim M, Computing resistance sensitivities with respect to geometric parameters of conductors with arbitrary shapes.
  41. Babcock, Jeffrey A.; Pinto, Angelo; Schiekofer, Manfred; Balster, Scott G.; Howard, Gregory E.; Hausler, Alfred, Control of dopant diffusion from buried layers in bipolar integrated circuits.
  42. Kim, Sang-kyu; Chung, Dae-young, Current control circuit.
  43. Bernstein Kerry ; Rohrer Norman J., DTCMOS circuit having improved speed.
  44. Berger Josef (Sunnyvale CA), Deep channel MOS transistor.
  45. Athanas Terry George (Frenchtown NJ), Deep depletion insulated gate field effect transistors.
  46. Li, Jiping, Defect-free junction formation using laser melt annealing of octadecaborane self-amorphizing implants.
  47. Hu Chenming ; Wann Hsing-Jen, Delta doped and counter doped dynamic threshold voltage MOSFET for ultra-low voltage operation.
  48. Bauer,Matthias, Deposition of silicon germanium on silicon-on-insulator structures and bulk substrates.
  49. Trimberger, Stephen M., Design-specific performance specification based on a yield for programmable integrated circuits.
  50. Ciplickas,Dennis; Davis,Joe; Hess,Christopher; Lee,Sherry; Malavasi,Enrico; Mohammad,Abdulmobeen; Radojcic,Ratibor; Stine,Brian; Vallishayee,Rakesh; Zanella,Stefano; Dragone,Nicola; Guardiani,Carlo; Quarantelli,Michel; Tonello,Stefano; Aniruddha,Joshi, Designing an integrated circuit to improve yield using a variant design element.
  51. Herr,Daniel Joseph Christian; Zhirnov,Victor Vladimirovich, Deterministically doped field-effect devices and methods of making same.
  52. Lee, Jong Pil, Device and method for controlling supply voltage/frequency using information of process variation.
  53. Kurosawa, Yoshiaki, Device and method for manufacturing a semiconductor wafer.
  54. Yamaguchi Yasuo (Hyogo JPX) Joachim Hans-Oliver (Hyogo JPX) Inoue Yasuo (Hyogo JPX), Device having a high concentration region under the channel.
  55. Bird Philip H. (Sidcup GB2) Armstrong Desmond R. (Wallington GB2), Differential amplifier and current sensing circuit including such an amplifier.
  56. Soumyanath Krishnamurthy ; Keshavarzi Ali ; De Vivek K. ; Borkar Shekhar Y., Differential circuits employing forward body bias.
  57. Cantell, Marc W.; Dunn, James S.; Harame, David L.; Johnson, Robb A.; Lanzerotti, Louis D.; St. Onge, Stephen A.; Tessier, Brian L.; Wuthrich, Ryan W., Diffused extrinsic base and method for fabrication.
  58. Flynn, Jeffrey S.; Brandes, George R., Doped group III-V nitride materials, and microelectronic devices and device precursor structures comprising same.
  59. Fariborz Assaderaghi ; Tze-Chiang Chen ; K. Paul Muller ; Edward Joseph Nowak ; Devendra Kumar Sadana ; Ghavam G. Shahidi, Double SOI device with recess etch and epitaxy.
  60. Hoke, William E.; Hur, Katerina Y., Double recessed transistor.
  61. Liou Tian-I (San Jose CA) Teng Chih-Sieh (San Jose CA), Double-diffused drain CMOS process using a counterdoping technique.
  62. Hoentschel, Jan; Griebenow, Uwe; Papageorgiou, Vassilios, Drive current increase in transistors by asymmetric amorphization implantation.
  63. Rhodes, Howard E., Dual doped gates.
  64. Joshi, Rajiv V.; Kim, Keunwoo; Nowak, Edward J.; Williams, Richard Q., Dynamic control of back gate bias in a FinFET SRAM cell.
  65. Bol,Igor; Li,Xin, Dynamic deep depletion field effect transistor.
  66. Hu Chenming (Alamo CA) Ko Ping K. (Richmond CA) Assaderaghi Fariborz (Berkeley CA) Parke Stephen (Poughkeepsie NY), Dynamic threshold voltage mosfet having gate to body connection for ultra-low voltage operation.
  67. Agarwal, Kanak B.; Banerjee, Shayak; Elakkumanan, Praveen; Liebmann, Lars W., Electrically-driven optical proximity correction to compensate for non-optical effects.
  68. Sato,Toshiro; Kobayashi,Kazumasa; Fujimori,Shogo, Electronic circuit designing method apparatus for designing an electronic circuit, and storage medium for storing an electronic circuit designing method.
  69. Augusto, Carlos, Elevated channel MOSFET.
  70. Tan,Chung Foong; Liu,Jinping; Lee,Hyeok Jae; Indajang,Bangun; Chor,Eng Fong; Ong,Shiang Yang, End of range (EOR) secondary defect engineering using substitutional carbon doping.
  71. Passlack, Matthias; Hartin, Olin L.; Ray, Marcus; Medendorp, Nicholas, Enhancement mode metal-oxide-semiconductor field effect transistor.
  72. Carl Huster ; Emi Ishida, Epitaxial delta doping for retrograde channel profile.
  73. Bauer, Matthias, Epitaxial deposition of doped semiconductor materials.
  74. Kim, Hyeon-Seag, Epitaxial silicon growth and usage of epitaxial gate insulator for low power, high performance devices.
  75. Chakravarthi, Srinivasan; Chidambaram, Periannan, Fabrication of abrupt ultra-shallow junctions.
  76. Bulucea Constantin, Fabrication of multiple field-effect transistor structure having local threshold-adjust doping.
  77. Bulucea, Constantin, Fabrication of semiconductor architecture having field-effect transistors especially suitable for analog applications.
  78. Bulucea, Constantin; Lindorfer, Philipp, Fabrication of semiconductor structure having N-channel channel-junction field-effect transistor.
  79. Yang, Jeng-Jiun; Bulucea, Constantin; Bahl, Sandeep R., Fabrication of semiconductor structure having asymmetric field-effect transistor with tailored pocket portion along source/drain zone.
  80. Farrenkopf Douglas R. ; Merrill Richard B. ; Saha Samar ; Brehmer Kevin E. ; Gadepally Kamesh ; Cacharelis Philip J., Fabrication of semiconductor structure having two levels of buried regions.
  81. Maszara Witold P. ; Krishnan Srinath ; Lin Ming-Ren, Fast Mosfet with low-doped source/drain.
  82. Furukawa, Toshiharu; Hakey, Mark C.; Holmes, Steven J.; Horak, David V.; Koburger, III, Charles W., Field effect transistor.
  83. Schruefer, Klaus, Field effect transistor with a heterostructure.
  84. Yasumura Kenji,JPX ; Murakami Takaaki,JPX, Field effect transistor with impurity concentration peak under gate electrode.
  85. Yoo, Seung-Han; Kang, Dae-Lim; Lee, Young-Chan, Field effect transistors including variable width channels and methods of forming the same.
  86. Bulucea, Constantin; Wang, Fu-Cheng; Chaparala, Prasad, Field-effect transistor for alleviating short-channel effects.
  87. Cunningham John E. (Eatontown NJ) Schubert Erdmann F. (Hazlet NJ) Tsang Won-Tien (Holmdel NJ), Field-effect transistor having a delta-doped ohmic contact.
  88. Fried, David M.; Leipold, William C.; Nowak, Edward J., FinFET layout generation.
  89. Liu, Jun; Violette, Michael P.; Mouli, Chandra; Kirsch, Howard; Li, Di, Floating body field-effect transistors, and methods of forming floating body field-effect transistors.
  90. Mitchell Allan T. (Garland TX), Floating-gate memory cell with tailored doping profile.
  91. Cho, Yonah; Kim, Yihwan, Formation and treatment of epitaxial layer containing silicon and carbon.
  92. Yan, Jiang; Shum, Danny Pak-Chum, Formation of active area using semiconductor growth process without STI integration.
  93. Howard Chih-Hao Wang TW; Su-Yu Lu TW; Mu-Chi Chiang TW; Carlos H. Diaz TW, Formation of an indium retrograde profile via antimony ion implantation to improve NMOS short channel effect.
  94. Ye, Zhiyuan; Lam, Andrew M.; Kim, Yihwan, Formation of epitaxial layer containing silicon and carbon.
  95. Helm, Mark; Zhou, Xianfeng, Formation of standard voltage threshold and low voltage threshold MOSFET devices.
  96. Chakravarthi,Srinivasan; Chidambaram,Pr; Bowen,Robert C.; Bu,Haowen, Forming a retrograde well in a transistor to enhance performance of the transistor.
  97. Nayak Deepak K. ; Hao Ming-Yin, Forming retrograde channel profile and shallow LLDD/S-D extensions using nitrogen implants.
  98. Neppl Franz (Munich DEX) Jacobs Erwin (Vaterstetten DEX) Winnerl Josef (Landshut DEX) Mazure-Espejo Carlos-Alberto (Kirchseeon DEX), Forming retrograde twin wells by outdiffusion of impurity ions in epitaxial layer followed by CMOS device processing.
  99. Park Heemyong ; Taur Yuan ; Wann Hsing-Jen C., Forming steep lateral doping distribution at source/drain junctions.
  100. Yu, Bin, Fully depleted SOI transistor with elevated source and drain.
  101. Blauschild Robert A., Fully integrated reference circuit having controlled temperature dependence.
  102. Hoke, William E.; Hur, Katerina; McTaggart, Rebecca, Gate electrode formation in double-recessed transistor by two-step etching.
  103. Swanson Eric J. (Reading PA), Gate-coupled field-effect transistor pair amplifier.
  104. Saxe, James B.; Sahai, Akhil; Singhal, Sharad; Ramshaw, Lyle H., Generating a configuration of a system that satisfies constraints contained in models.
  105. Davies Robert B. ; Baker Frank K. ; Candelaria Jon J. ; Wild Andreas A. ; Zdebel Peter J., Graded-channel semiconductor device.
  106. Hikosaka Kohki (Atsugi JPX), High electron mobility transistor.
  107. Chang, Edward Yi; Kuo, Chien-I; Hsu, Heng-Tung, High electron mobility transistor and method for fabricating the same.
  108. Ouyang,Qiqing Christine; Chen,Xiangdong, High mobility heterojunction complementary field effect transistors and methods thereof.
  109. Ouyang Qiqing ; Tasch ; Jr. Al F. ; Banerjee Sanjay Kumar, High mobility heterojunction transistor and method.
  110. Mocuta, Anda C.; Ieong, Meikei; Amos, Ricky S.; Boyd, Diane C.; Mocuta, Dan M.; Chen, Huajie, High performance CMOS device structure with mid-gap metal gate.
  111. Wang, Chih-Hao; Wang, Ta-Wei; Hu, Chenming, High performance CMOS devices and methods for making same.
  112. Zhu, Huilong; Wang, Jing, High performance MOSFET.
  113. Chu, Jack Oon, High speed GE channel heterostructures for field effect devices.
  114. Li,Gabriel, High speed memory interface system and method.
  115. Han Yu P. (Dallas TX) Nagalingam Samuel J. S. (Los Gatos CA), High-performance punchthrough implant method for MOS/VLSI.
  116. Herner, S. Brad; Bandyopadhyay, Abhijit, Highly scalable thin film transistor.
  117. Bernstein, Kerry; Sleight, Jeffrey W.; Yang, Min, Hybrid crystal orientation CMOS structure for adaptive well biasing and for power and performance enhancement.
  118. Allen,Robert J.; Baker,Faye D.; Chu,Albert M.; Gray,Michael S.; Hibbeler,Jason; Maynard,Daniel N.; Tan,Mervyn Y.; Walker,Robert F., IC layout optimization to improve yield.
  119. Joshi, Rajiv V.; Kanj, Rouwaida, In-situ design method and system for improved memory yield.
  120. Weber,Cory E.; Schrom,Gerhard; Post,Ian R.; Stettler,Mark A., Indium-boron dual halo MOSFET.
  121. Nishida Masanori (Ota JPX) Aoyama Masashige (Gunma JPX) Onodera Hiroshi (Gunma JPX), Insulated gate field effect transistor with buried layer.
  122. Lee Kwing F. (Red Bank NJ) Ourmazd Abbas (Colts Neck NJ) Yan Ran-Hong (Aberdeen NJ), Insulated gate field-effect transistor with pulse-shaped doping.
  123. Bard,Karen A.; Rose,Ronald D.; Sitko,Michael H., Integrated circuit design for signal integrity, avoiding well proximity effects.
  124. Lee, Jae Gon; Quek, Elgin Kiok Boone; Teh, Young Way; Gao, Wenzhi, Integrated circuit system for suppressing short channel effects.
  125. Ando, Yoshiyuki, Integrated circuits having post-silicon adjustment control.
  126. Perisetty, Srinivas, Integrated circuits with adjustable body bias and power supply circuitry.
  127. Rategh, Hamid Reza; Soltan, Mehdi Frederik, Integrated circuits with scalable design.
  128. Bu, Haowen; Ekbote, Shashank; Khamankar, Rajesh; Tang, Shaoping; Mehrad, Freidoon, Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance.
  129. Shen Jun (Phoenix AZ) Tehrani Saied (Scottsdale AZ) Goronkin Herbert (Tempe AZ), Intrinsically doped semiconductor structure and method for making.
  130. Bedell, Stephen W.; DeSouza, Joel P.; Ren, Zhibin; Reznicek, Alexander; Sadana, Devandra K.; Saenger, Katherine L.; Shahidi, Ghavam, Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors.
  131. Lehongres Denis,FRX, Isolation between power supplies of an analog-digital circuit.
  132. Li, Chung-Ren; Renn, Shing-Hwa; Chiang, Yu-Teh, Isolation structure and device structure including the same.
  133. Kuroda Hideaki,JPX, LDD buried channel field effect semiconductor device and manufacturing method.
  134. Ming-Yin Hao ; Emi Ishida, Laser tailoring retrograde channel profile in surfaces.
  135. Letavic Theodore ; Simpson Mark ; Egloff Richard ; Warwick Andrew Mark,GBX, Lateral thin-film silicon-on-insulator (SOI) device having a lateral drift region with a retrograde doping profile, and method of making such a device.
  136. Kobayashi, Naohiro, Layout method and layout apparatus for semiconductor integrated circuit.
  137. Komori Shigeki (Hyogo JPX) Kusunoki Shigeru (Hyogo JPX) Tsukamoto Katsuhiro (Hyogo JPX), Lightly doped MISFET with reduced latchup and punchthrough.
  138. Usami, Kimiyoshi; Kawabe, Naoyuki; Kitahara, Takeshi; Kanazawa, Masahiro, Logic circuit design equipment and method for designing logic circuit for reducing leakage current.
  139. Liu, Yaocheng; Iyer, Subramanian S.; Li, Jinghong, Low defect Si:C layer with retrograde carbon profile.
  140. Yu, Bin, Low temperature process for a thin film transistor.
  141. Seliskar John J., Low threshold voltage MOS transistor and method of manufacture.
  142. Nishinohara,Kazumi; Akasaka,Yasushi; Suguro,Kyoichi, Low threshold voltage semiconductor device.
  143. Burr James B. ; Brassington Michael P., Low threshold voltage, high performance junction transistor.
  144. Nowak, Edward J., Low-cost high-performance planar back-gate CMOS.
  145. Matloubian Mehran (Encino CA) Liu Takyiu (Newbury Park CA) Nguyen Chanh (Newbury Park CA), Low-noise and power ALGaPSb/GaInAs HEMTs and pseudomorpohic HEMTs on GaAs substrate.
  146. Noguchi Mitsuhiro,JPX ; Oowaki Yukihito,JPX, MISFET semiconductor device having relative impurity concentration levels between layers.
  147. Kotani Norihiko (Itami JPX) Kawazu Satoru (Itami JPX), MOS Semiconductor device.
  148. Aoki Kenji,JPX ; Takada Ryoji,JPX, MOS field effect transistor and its manufacturing method.
  149. Murto,Robert William; Colombo,Luigi; Visokay,Mark Robert, MOS transistor gates with thin lower metal silicide and methods for making the same.
  150. Yu Bin, MOS transistor with dual metal gate structure.
  151. Ko, Young gun; Oh, Chang bong, MOS transistor with elevated source and drain structures and method of fabrication thereof.
  152. Yu, Bin, MOS transistor with reduced floating body effect.
  153. Lustig, Bernhard; Schafer, Herbert; Risch, Lothar, MOS transistor, method for fabricating a MOS transistor and method for fabricating two complementary MOS transistors.
  154. Matocha, Kevin Sean; Arthur, Stephen Daley; Rao, Ramakrishna; Losee, Peter Almern; Stum, Zachary Matthew, MOSFET devices and methods of making.
  155. Barnak,John P.; Chau,Robert S.; Liang,Chunlin, MOSFET gate electrodes having performance tuned work functions and methods of making same.
  156. Bhalla, Anup; Lui, Sik K., MOSFET using gate work function engineering for switching applications.
  157. Yazawa Yoshiaki (Hitachi JPX) Kobayashi Yutaka (Tokyo JPX) Fukami Akira (Hitachi JPX) Nagano Takahiro (Hitachi JPX), MOSFET with reduced short channel effect.
  158. Zhu, Huilong; Leobandung, Effendi; Mocuta, Anda C.; Mocuta, Dan M., MOSFET with super-steep retrograded island.
  159. Madurawe, Raminda Udaya; Suaris, Peter Ramyalal; White, Thomas Henry, MPGA products based on a prototype FPGA.
  160. Miyashita,Toshihiko; Suzuki,Kunihiro, Manufacturing method of semiconductor device suppressing short-channel effect.
  161. Miyashita,Toshihiko; Suzuki,Kunihiro, Manufacturing method of semiconductor device suppressing short-channel effect.
  162. Tan,Chung Foong; Liu,Jinping; Lee,Hyeokjae; Tee,Kheng Chok; Quek,Elgin, Material architecture for the fabrication of low temperature transistor.
  163. Lindert,Nick; Brask,Justin K.; Westmeyer,Andrew, Metal gate transistors with epitaxial source and drain regions.
  164. Chu, Jack Oon; Doris, Bruce B.; Ieong, Meikei; Wang, Jing, Metal gated ultra short MOSFET devices.
  165. Chu,Jack Oon; Doris,Bruce B.; Ieong,Meikei; Wang,Jing, Metal gated ultra short MOSFET devices.
  166. Chen, Huajie; Holt, Judson R.; Jagannathan, Rangarajan; Natzle, Wesley C.; Sievers, Michael R.; Wise, Richard S., Metal oxide field effect transistor with a sharp halo.
  167. Cho, Yong Soo, Metal oxide semiconductor field effect transistor and method of fabricating the same.
  168. Edwards Lawrence B. (San Jose CA) Ngo Andy T. (San Jose CA), Method and apparatus for compacting integrated circuits with standard cell architectures.
  169. Besser,Paul R.; Paton,Eric N.; En,William G., Method and apparatus for controlling the thickness of a selective epitaxial growth layer.
  170. Daniel S. Marshall ; William J. Ooms ; Jerald A. Hallmark ; Yang Wang, Method and apparatus for creating a voltage threshold in a FET.
  171. Trimberger, Stephen M.; Lesea, Austin H., Method and apparatus for detecting sudden temperature/voltage changes in integrated circuits.
  172. Signore,Nicholas D., Method and apparatus for determining transistor sizes.
  173. Moroz, Victor; Lin, Xi-Wei; Rubin, Mark, Method and apparatus for generating a layout for a transistor.
  174. Robbins David J. (Malvern GB3), Method and apparatus for monitoring surface layer growth.
  175. Du Nour,Ofer, Method and apparatus for process control with in-die metrology.
  176. Joshi,Rajiv V.; Kanj,Rouwaida N.; Nassif,Sani R., Method and computer program for efficient cell failure rate estimation in cell arrays.
  177. Hsu Benjamin Jiann, Method and system for porting an integrated circuit layout from a reference process to a target process.
  178. Chang,Mi Chang; Lin,Su Ya; Yang,Jen Hang; Tien,Li Chun, Method for automatically modifying integrated circuit layout.
  179. Hall David W., Method for converting an integrated circuit design for an upgraded process.
  180. Mandrekar, Tushar V.; Venkataraman, Shankar; Hua, Zhong Qiang; Hernandez, Manuel A., Method for doping non-planar transistors.
  181. James B. Burr, Method for engineering the threshold voltage of a device using buried wells.
  182. Chang-Hyun Cho KR; Gwan-Hyeob Koh KR; Mi-Hyang Lee KR; Dae-Won Ha KR, Method for fabricating MOS transistor.
  183. Mochizuki, Marie, Method for fabricating MOS-FET.
  184. Malhi Satwinder (Garland TX), Method for fabricating a CMOS well structure.
  185. Yu, HongYu; Chang, Shou-Zen; Hoffmann, Thomas Y.; Absil, Philippe, Method for fabricating a dual workfunction semiconductor device and the device made thereof.
  186. Noda,Taiji; Umimoto,Hiroyuki; Odanaka,Shinji, Method for fabricating a semiconductor device having a pocket dopant diffused layer.
  187. Radens Carl ; Weybright Mary E., Method for fabricating dual workfunction devices on a semiconductor substrate using counter-doping and gapfill.
  188. Rho Kwang Myoung,KRX, Method for fabricating semiconductor device having a buried channel.
  189. Sohn, Yong-Sun, Method for fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by boron-fluoride compound doping.
  190. Sohn, Yong-Sun; Joo, Sung-Jae, Method for fabricating semiconductor device with ultra-shallow super-steep-retrograde epi-channel by decaborane doping.
  191. Colombeau, Benjamin; Yeong, Sai Hooi; Benistant, Francis; Indajang, Bangun; Chan, Lap, Method for fabricating semiconductor devices with reduced junction diffusion.
  192. Burr James B., Method for forming MOS devices with retrograde pocket regions and counter dopant regions at the substrate surface.
  193. Liu Yowjuang W. ; Chang Kuang-Yeh, Method for forming advanced transistor structures with optimum short channel controls for high density/high performance.
  194. Murthy,Anand S.; Glass,Glenn A.; Westmeyer,Andrew N.; Hattendorf,Michael L.; Ghani,Tahir, Method for forming an integrated circuit.
  195. Chiu Tzu-Yin,TWX, Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device.
  196. Hada Hiromitsu,JPX, Method for forming semiconductor device.
  197. Sohn, Yong Sun; Ryoo, Chang Woo; Lee, Jeong Youb, Method for forming transistor of semiconductor device.
  198. Liaw,Jhon Jhy, Method for improving threshold voltage stability of a MOS device.
  199. Adkisson, James W; Ballantine, Arne W.; Divakaruni, Ramachandra; Johnson, Jeffrey B.; Jones, Erin C.; Wong, Hon-Sum P., Method for making multiple threshold voltage FET using multiple work-function gate materials.
  200. Rouh,Kyoung Bong; Jin,Seung Woo; Lee,Min Young, Method for manufacturing a cell transistor of a semiconductor memory device.
  201. Pearce, Charles W., Method for manufacturing a laterally diffused metal oxide semiconductor device.
  202. Tsai, Fang Wen; Huang, Jim Cy; Lin, Shun Wu; Chen, Li-Shiun; Hsu, Kuang-Yuan, Method for metal gate N/P patterning.
  203. Chu,Jack Oon; Doris,Bruce B.; Ieong,Meikei; Wang,Jing, Method for metal gated ultra short MOSFET devices.
  204. Perng,Baw Ching; Lin,Yih Shung; Lei,Ming Ta; Liu,Ai Sen; Lin,Chia Hui; Lin,Cheng Chung, Method for multiple spacer width control.
  205. Luning Scott D. ; Greenlaw David C. ; Fewkes Jonathan, Method for obtaining a steep retrograde channel profile.
  206. Allen, Frederick G.; Streit, Dwight C.; Metzger, Robert A., Method for producing hyperabrupt doping profiles in semiconductors.
  207. Chen, Kuang-Jung; Donaton, Ricardo A.; Huang, Wu-Song; Li, Wai-Kin, Method for removing threshold voltage adjusting layer with external acid diffusion process.
  208. Yeh, Chiung-Han; Chung, Sheng-Chen; Thei, Kong-Beng; Chuang, Harry, Method for tuning a work function of high-K metal gate devices.
  209. Majumder, Purnabha; Kumthekar, Balakrishna; Shah, Nimish Rameshbhai; Mowchenko, John; Chavda, Pramit Anikumar; Kojima, Yoshihisa; Yoshida, Hiroaki; Boppana, Vamsi, Method of IC design optimization via creation of design-specific cells from post-layout patterns.
  210. Fulford ; Jr. H. Jim ; Dawson Robert ; Gardner Mark I. ; Hause Frederick N. ; Michael Mark W. ; Moore Bradley T. ; Wristers Derick J., Method of channel doping using diffusion from implanted polysilicon.
  211. Henderson Richard C. (Westlake Village CA), Method of controlling MOSFET threshold voltage with self-aligned channel stop.
  212. Griebenow, Uwe; Frohberg, Kai; Schwan, Christoph; Ruttloff, Kerstin, Method of creating a strained channel region in a transistor by deep implantation of strain-inducing species below the channel region.
  213. Meunier Beillard, Philippe; Caymax, Mathieu Rosa Jozef, Method of epitaxial deoposition of an n-doped silicon layer.
  214. Ang, Chew Hoe; Lin, Wenhe; Zheng, Jia Zhen, Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth.
  215. Surdeanu, Radu Catalin; Ponomarev, Youri, Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device.
  216. Chung, Shu-Wei; Yu, Kuo-Feng; Lin, Shyue-Shyh, Method of fabricating a gate stack integration of complementary MOS device.
  217. Naem Abdalla Aly, Method of fabricating a raised source/drain MOSFET using self-aligned POCl.sub.3 for doping gate/source/drain regions.
  218. Guo, Ted Ming-Lang; Chien, Chin-Cheng; Chan, Shu-Yen; Yang, Chan-Lon; Wu, Chun-Yuan, Method of fabricating a semiconductor structure.
  219. Jean Pierre Colinge ; Carlos H. Diaz, Method of fabricating a silicon-on-insulator semiconductor device with an implanted ground plane.
  220. Yu Bin ; Pramanick Shekhar, Method of fabricating an integrated circuit having punch-through suppression.
  221. Whang, Sung-man; Park, Hyung-moo; Maeng, Dong-cho; Lee, Hyae-Ryoung; Park, Ho-woo, Method of fabricating metal oxide semiconductor transistor with lightly doped impurity regions formed after removing spacers used for defining higher density impurity regions.
  222. Mori, Hidemitsu; Takimoto, Kazuhiro; Shou, Toshiyuki; Sasaki, Kenji; Akiyama, Yutaka, Method of fabricating semiconductor device with a high breakdown voltage between neighboring wells.
  223. Wang, Haihong; Jeon, Joong, Method of fabricating transistor having a single crystalline gate conductor.
  224. Maszara Witold P. ; Krishnan Srinath ; Pramanick Shekhar, Method of forming a MOSFET transistor with a shallow abrupt retrograde dopant profile.
  225. Hargrove, Michael; Carter, Richard J.; Tsang, Ying H; Kluth, George; Choi, Kisik, Method of forming a semiconductor device.
  226. Wu,Kuo Ming; Wu,Chen Bau; Liu,Ruey Hsin; Hsu,Shun Liang, Method of forming high voltage devices with retrograde well.
  227. Hause Frederick N. ; Dawson Robert ; Fulford ; Jr. H. Jim ; Gardner Mark I. ; Michael Mark W. ; Moore Bradley T. ; Wristers Derick J., Method of making NMOS and PMOS devices with reduced masking steps.
  228. Beilstein ; Jr. Kenneth E. (Manassas VA) Kotecha Harish N. (Manassas VA), Method of making a MOSFET device with reduced sensitivity of threshold voltage to source to substrate voltage variations.
  229. Burr James B. (Foster CA) Brassington Michael P. (Sunnyvale CA), Method of making a low power, high performance junction transistor.
  230. Mosher Dan M. (Plano TX) Blanton Cornelia H. (Plano TX) Trogolo Joe R. (Plano TX) Latham Larry (Garland TX) Cotton David R. (Plano TX), Method of making an integrated circuit that combines multi-epitaxial power transistors with logic/analog devices.
  231. Son Jeong Hwan,KRX ; Kim Young Gwan,KRX, Method of making semiconductor device with decreased channel width and constant threshold voltage.
  232. Kakumu Masakazu,JPX, Method of manufacturing MOS-type semiconductor device having electrode structure capable of coping with short-channel e.
  233. Sohn Yong Sun,KRX, Method of manufacturing a CMOS Transistor.
  234. Bernard, Emilie; Guillaumot, Bernard; Coronel, Philippe; Vizioz, Christian, Method of manufacturing a buried-gate semiconductor device and corresponding integrated circuit.
  235. Kluth, George Jonathan; Xiang, Qi, Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts.
  236. Bin Yu ; Ming-Ren Lin ; Shekhar Pramanick, Method of manufacturing a transistor with local insulator structure.
  237. Kotani Naoki,JPX ; Shimizu Keiichiro,JPX, Method of manufacturing semiconductor device.
  238. Chen, Yun-Hsiu; Jang, Syun-Ming; Tsai, Pang-Yen, Method of manufacturing strained-silicon semiconductor device.
  239. Zhirong Tang ; Heemyong Park ; Jenny M. Ford, Method of manufacturing vertical semiconductor device.
  240. Lin, Ching-Fu; Tseng, Hua-Chou; Yang, Teng-Chi, Method of measuring thickness of epitaxial layer.
  241. Wu Shye-Lin,TWX, Method to fabricate dual threshold CMOS circuits.
  242. Liu, Kaiping, Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss.
  243. Sundaresan Ravi ; Pan Yang,SGX ; Lee James Yong Meng,SGX ; Leung Ying Keung,HKX ; Pradeep Yelehanka Ramachandramurthy,SGX ; Zheng Jia Zhen,SGX ; Chan Lap ; Quek Elgin,SGX, Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials.
  244. Wu Shye-Lin,TWX, Method to form ultra-short channel MOSFET with a gate-side airgap structure.
  245. Wu,Zhiqiang; Yu,Shaofeng; Cleavelin,C. Rinn, Method to improve SRAM performance and stability.
  246. Liu, Kaiping, Method to produce localized halo for MOS transistor.
  247. Liu,Kaiping, Method to produce localized halo for MOS transistor.
  248. Algotsson,Patrik; Andersson,Karin; Norstr철m,Hans, Method to provide a triple well in an epitaxially based CMOS or BiCMOS process.
  249. Joshi, Rajiv V.; Kanj, Rouwaida N.; Nassif, Sani R., Methodology for correlated memory fail estimations.
  250. Mark S. Rodder, Methodology for high-performance, high reliability input/output devices and analog-compatible input/output and core devices using core device implants.
  251. Levy, Ady; Brown, Kyle A.; Smedt, Rodney; Bultman, Gary; Nikoonahad, Mehrdad; Wack, Dan; Fielden, John; Abdul-Halim, Ibrahim, Methods and systems for determining a critical dimension and overlay of a specimen.
  252. Satya, Akella V. S.; Song, Li; Long, Robert Thomas; Weiner, Kurt H., Methods and systems for predicting IC chip yield.
  253. Seebauer, Edmund G.; Braatz, Richard D.; Jung, Michael Yoo Lim; Gunawan, Rudiyanto, Methods for controlling dopant concentration and activation in semiconductor structures.
  254. Cheng, Kangguo; Mandelman, Jack Allan, Methods for forming semiconductor structures with buried isolation collars and semiconductor structures formed by these methods.
  255. Gupta, Puneet; Kahng, Andrew B., Methods for gate-length biasing using annotation data.
  256. King, Tsu-Jae; Moroz, Victor, Methods of designing an integrated circuit on corrugated substrate.
  257. Dennen Michael W., Methods of fabricating short channel fermi-threshold field effect transistors including drain field termination region.
  258. Kim, Yihwan; Ye, Zhiyuan; Zojaji, Ali, Methods of forming carbon-containing silicon epitaxial layers.
  259. Luo, Zhijiong; Teh, Young Way; Ajmera, Atul C., Methods of forming semiconductor devices using embedded L-shape spacers.
  260. Challa, Ashok; Elbanhawy, Alan; Probst, Dean E.; Sapp, Steven P.; Wilson, Peter H.; Sani, Babak S.; Losee, Becky; Herrick, Robert; Murphy, James J.; Madson, Gordon K.; Marchant, Bruce D.; Kocon, Christopher B.; Woolsey, Debra S., Methods of making power semiconductor devices with thick bottom oxide layer.
  261. Regan,Timothy James, Modification of integrated circuits.
  262. Yu, Bin, Mos transistor with dual metal gate structure.
  263. An Judy X. ; Yu Bin, Mosfet with localized amorphous region with retrograde implantation.
  264. Li,Ming; Kim,Sung min, Multi bridge channel field effect transistors with nano-wire channels and methods of manufacturing the same.
  265. Lebby, Michael; Sabnis, Vijit; Atanackovic, Petar B., Multilayered BOX in FDSOI MOSFETS.
  266. Ishida, Emi; Nayak, Deepak K.; Hao, Ming Yin, Multiple channel implantation to form retrograde channel profile and to engineer threshold voltage and sub-surface punch-through.
  267. Kerr, Daniel Charles; Carroll, Michael Scott; Hamad, Amal Ma; Lai, Thiet The; Key, Roger W., Multiple doping level bipolar junctions transistors and method for forming.
  268. Dixit,Abhisek; De Meyer,Kristin, Multiple gate semiconductor device and method for forming same.
  269. Kohli, Puneet; Mehrotra, Manoj, Multiple indium implant methods and devices and integrated circuits therefrom.
  270. De Vivek K. ; Keshavarzi Ali ; Narendra Siva G. ; Borkar Shekhar Y., Multiple well transistor circuits having forward body bias.
  271. Rotondaro, Antonio L. P.; Visokay, Mark R., Multiple work function gates.
  272. Li, Hong-Jyh; Schulz, Thomas, Multiple-gate MOS transistors.
  273. Wen-Yu Ku TW; Fang-Cheng Lu TW; Ting-Pang Li TW; Cheng-Chung Wang TW, N-type buried layer drive-in recipe to reduce pits over buried antimony layer.
  274. Kim, Jee Hwan; Bedell, Stephen W.; Maurer, Siegfried; Sadana, Devendra K., N-type carrier enhancement in semiconductors.
  275. Rueckes,Thomas; Segal,Brent M.; Vogeli,Bernard; Brock,Darren K.; Jaiprakash,Venkatachalam C.; Bertin,Claude L., Nanotube-on-gate FET structures and applications.
  276. Bangsaruntip, Sarunya; Cohen, Guy Moshe; Saenger, Katherine Lynn, Nanowire field-effect transistors.
  277. Siprak, Domagoj, Noise reduction in semiconductor device using counter-doping.
  278. Doyle, Brian S.; Datta, Suman; Jin, Been Yih; Chau, Robert, Non-planar MOS structure with a strained channel region.
  279. Rouse, Richard P.; Ng, Che-Hoo; An, Judy X., Non-uniform channel profile via enhanced diffusion.
  280. Eleyan,Nadeem N.; Sharma,Harsh D.; Levy,Howard L.; Kim,Hong S., On-chip signal state duration measurement and adjustment.
  281. Ouyang, Xu; Han, Geng; Liebmann, Lars W., Placement and optimization of process dummy cells.
  282. Seebauer, Edmund G., Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering.
  283. Eaglesham David James ; Gossmann Hans-Joachim Ludwig ; Poate John Milo ; Stolk Peter Adriaan, Process for controlling dopant diffusion in a semiconductor layer.
  284. Gossmann Hans-Joachim Ludwig ; Rafferty Conor Stefan, Process for controlling dopant diffusion in a semiconductor layer and semiconductor device formed thereby.
  285. Eaglesham David James ; Gossmann Hans-Joachim Ludwig ; Poate John Milo ; Stolk Peter Adriaan, Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby.
  286. Todd, Michael A.; Hawkins, Mark, Process for deposition of semiconductor films.
  287. Tseng Hsing-Huang (Austin TX) Tobin Philip J. (Austin TX) Tsui Paul G. Y. (Austin TX) Sun Shih W. (Austin TX) Poon Stephen S. (Austin TX), Process for fabricating a MOSFET device having reduced reverse short channel effects.
  288. Capasso, Cristiano; Samavedam, Srikanth B.; Verret, Eric J., Process for forming an electronic device including a transistor having a metal gate electrode.
  289. Mundt Randall S. (Colorado Springs CO) Wyatt Ray E. (Colorado Springs CO), Process for forming retrograde dopant distributions utilizing simultaneous outdiffusion of dopants.
  290. Curello,Giuseppe; Faul,J체rgen, Process for producing a doped semiconductor substrate.
  291. Tsai Chaochieh,TWX ; Wang Kuan-Yao,TWX, Process of making CMOS device structure having an anti-SCE block implant.
  292. Vasudev Prahalad K. (Santa Monica CA), Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing.
  293. Zhang Jiong,SGX ; Shao Kai,SGX ; Chu Shao-Fu Sanford,SGX, Process to control the lateral doping profile of an implanted channel region.
  294. Chi, Min Hwa; Chiang, Wen Chuan; Chiang, Mu Chi, Quasi-plannar and FinFET-like transistors on bulk silicon.
  295. Braithwaite, Glyn; Hammond, Richard; Currie, Matthew, RF circuits including transistors having strained material layers.
  296. Burr, James B.; Bagchi, Archisman; Nasrullah, Jawad, Raised source/drain with super steep retrograde channel.
  297. Mouli, Chandra V., SOI device with reduced drain induced barrier lowering.
  298. Mouli,Chandra V., SOI device with reduced drain induced barrier lowering.
  299. Fenouillet-Beranger, Claire; Coronel, Philippe, SOI transistor with self-aligned ground plane and gate and buried oxide of variable thickness.
  300. Dakshina Murthy,Srikanteswara; Bonser,Douglas; Van Meer,Hans; Brown,David, Selective epitaxial growth for tunable channel thickness.
  301. Dennard, Robert H.; Greene, Brian J.; Ren, Zhibin; Wang, Xinlin, Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage.
  302. Wirbeleit,Frank; Horstmann,Manfred; Hobert,Christian, Self-biasing transistor structure and an SRAM cell having less than six transistors.
  303. Kamm, Richard J.; Jorstad, John L., Semi-solid molding method.
  304. Kanzawa, Yoshihiko; Saitoh, Tohru; Nozawa, Katsuya; Kubo, Minoru; Hara, Yoshihiro; Takagi, Takeshi; Kawashima, Takahiro, Semiconductor crystal film and method for preparation thereof.
  305. Itoh, Kiyoo; Takemura, Riichiro, Semiconductor device.
  306. Iwata Hiroshi,JPX ; Kakimoto Seizou,JPX ; Nakano Masayuki,JPX ; Matsuoka Toshimasa,JPX, Semiconductor device.
  307. Jeong, Hyeong Gyun, Semiconductor device.
  308. Yamashita,Kenya; Kitabatake,Makoto; Kusumoto,Osamu; Takahashi,Kunimasa; Uchida,Masao; Miyanaga,Ryoko, Semiconductor device.
  309. Yoshida, Masatoshi, Semiconductor device.
  310. Etoh Jun (Hachioji JA) Masuhara Toshiaki (Hachioji JA), Semiconductor device and a method for fabricating the same.
  311. Cho, Yong-Soo, Semiconductor device and fabricating method thereof.
  312. Ema, Taiji; Kojima, Hideyuki; Anezaki, Toru, Semiconductor device and its manufacture method.
  313. Ema,Taiji; Kojima,Hideyuki; Anezaki,Toru, Semiconductor device and its manufacture method.
  314. Yamauchi, Jun; Aoki, Nobutoshi, Semiconductor device and manufacturing method of the same.
  315. Yamauchi,Jun; Aoki,Nobutoshi, Semiconductor device and manufacturing method of the same.
  316. Handa,Takato; Kurimoto,Kazumi, Semiconductor device and method for fabricating the same.
  317. Iwata, Hiroshi; Kakimoto, Seizou; Nakano, Masayuki; Matsuoka, Toshimasa, Semiconductor device and method for fabricating the same.
  318. Kusumoto,Osamu; Kitabatake,Makoto; Takahashi,Kunimasa; Yamashita,Kenya; Miyanaga,Ryoko; Uchida,Masao, Semiconductor device and method for manufacturing same.
  319. Hokazono, Akira, Semiconductor device and method of fabricating the same.
  320. Inaba,Satoshi; Morooka,Tetsu, Semiconductor device and method of fabricating the same.
  321. Hokazono, Akira; Inaba, Satoshi, Semiconductor device and method of manufacturing semiconductor device.
  322. Hieda, Katsuhiko; Suguro, Kyoichi, Semiconductor device and method of manufacturing the same.
  323. Katsuhiko Hieda JP; Kyoichi Suguro JP, Semiconductor device and method of manufacturing the same.
  324. Masayoshi Shirahata JP; Yoshinori Okumura JP, Semiconductor device and method of manufacturing the same.
  325. Nishinohara, Kazumi; Akasaka, Yasushi; Suguro, Kyoichi, Semiconductor device and method of manufacturing the same.
  326. Mizuno Masayuki,JPX, Semiconductor device and power supply controller for same.
  327. Kawahara, Takayuki; Yamaoka, Masanao, Semiconductor device and semiconductor integrated circuit using the same.
  328. Usuki Tatsuya (Kawasaki JPX), Semiconductor device for switching a ballistic flow of carriers.
  329. Oda, Hidekazu; Kitazawa, Masashi; Shiozawa, Katsuomi, Semiconductor device having a buried-channel MOS structure.
  330. Kato, Juri, Semiconductor device having a first circuit block isolating a plurality of circuit blocks.
  331. Liu,Kaiping; Wu,Zhiqiang, Semiconductor device having a localized halo implant therein and method of manufacture therefor.
  332. Kikkawa Toshihide,JPX, Semiconductor device having a regrowth crystal region.
  333. Wieczorek,Karsten; Horstmann,Manfred; Stephan,Rolf, Semiconductor device having a retrograde dopant profile in a channel region.
  334. Wieczorek, Karsten; Horstmann, Manfred; Stephan, Rolf, Semiconductor device having a retrograde dopant profile in a channel region and method for fabricating the same.
  335. Ishida Hidetsugu,JPX ; Isomae Seiichi,JPX, Semiconductor device having buried boron and carbon regions.
  336. Nishinohara, Kazumi; Akasaka, Yasushi; Suguro, Kyoichi, Semiconductor device having counter and channel impurity regions.
  337. Hamamoto Takeshi,JPX, Semiconductor device having lower minority carrier noise.
  338. Watanabe Atsuo,JPX ; Yazawa Yoshiaki,JPX ; Hiraishi Atsushi,JPX ; Minami Masataka,JPX ; Nagano Takahiro,JPX ; Ikeda Takahide,JPX ; Momma Naohiro,JPX, Semiconductor device having semiconductor elements formed in a retrograde well structure.
  339. Kubo, Tomohiro; Okabe, Kenichi; Yamamoto, Tomonari, Semiconductor device having shallow b-doped region and its manufacture.
  340. Takao, Yoshihiro, Semiconductor device having well with peak impurity concentrations and method for fabricating the same.
  341. Hokazono, Akira, Semiconductor device including a p-type transistor having extension regions in sours and drain regions and method of fabricating the same.
  342. Okumura Yoshinori,JPX ; Takeuchi Masahiko,JPX ; Arima Hideaki,JPX, Semiconductor device including retrograde well structure with suppressed substrate bias effects.
  343. White,Ted R.; Barr,Alexander L.; Nguyen,Bich Yen; Orlowski,Marius K.; Sadaka,Mariam G.; Thean,Voon Yew, Semiconductor device structure and method therefor.
  344. Zeng, Jun; Darwish, Mohamed N., Semiconductor device structures and related processes.
  345. Inaba,Satoshi, Semiconductor device using SOI structure having a triple-well region.
  346. Schulze, Hans Joachim; Niedernostheide, Franz Josef; Strack, Helmut; Schaeffer, Carsten; Pfirsch, Frank, Semiconductor device with a field stop zone.
  347. Rao, G. R. Mohan, Semiconductor devices with graded dopant regions.
  348. Mathur, Kaveri; Buller, James F.; Kurz, Andreas, Semiconductor devices with improved local matching and end resistance of RX based resistors.
  349. Kondo, Hideaki; Moriwaki, Toshiyuki; Tamaru, Masaki; Andoh, Takashi, Semiconductor integrated circuit device.
  350. Naito Yumi,JPX ; Oeda Yasuo,JPX ; Fujimoto Tsuyoshi,JPX, Semiconductor laser device.
  351. El Yahyaoui, Farid; Van Gisbergen, Jozefus Godefridus Gerardus, Semiconductor layout modification method based on design rule and user constraints.
  352. Yamaoka,Masanao; Osada,Kenichi; Itoh,Kiyoo; Kawahara,Takayuki, Semiconductor memory.
  353. Helm Mark (Boise ID) Dennison Charles (Boise ID), Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semicondu.
  354. Elpelt, Rudolf; Mitlehner, Heinz; Schörner, Reinhold, Semiconductor structure comprising a highly doped conductive channel region and method for producing a semiconductor structure.
  355. Miles Glen L., Semiconductor structure having reduced silicide resistance between closely spaced gates and method of fabrication.
  356. Farrenkopf Douglas R. ; Merrill Richard B. ; Saha Samar ; Brehmer Kevin E. ; Gadepally Kamesh ; Cacharelis Philip J., Semiconductor structure having two levels of buried regions.
  357. Haensch, Wilfried; Koester, Steven; Majumdat, Amlan, Semiconductor structure including gate electrode having laterally variable work function.
  358. Currie, Matthew; Lochtefeld, Anthony; Hammond, Richard; Fitzgerald, Eugene, Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same.
  359. Kurita, Kazunari, Semiconductor substrate for solid-state image sensing device as well as solid-state image sensing device and method for producing the same.
  360. Pawlak, Bartlomiej Jan; Duffy, Raymond James; Lindsay, Richard, Semiconductor substrate with solid phase epitaxial regrowth with reduced junction leakage and method of producing same.
  361. Yu, Bin, Semiconductor-on-insulator circuit with multiple work functions.
  362. Cai, Jun, Short channel LV, MV, and HV CMOS devices.
  363. Nishizawa Jun-ichi (Sendai JPX) Ohmi Tadahiro (Sendai JPX), Short channel MOSFET with buried anti-punch through region.
  364. Schmitz Jurriaan,NLX ; Woerlee Pierre H.,NLX, Si-Ge CMOS semiconductor device.
  365. Imai Seiji,JPX ; Hiraoka Yoshiko,JPX ; Kurobe Atsushi,JPX ; Sugiyama Naoharu,JPX ; Tezuka Tsutomu,JPX, Si-SiGe semiconductor device and method of fabricating the same.
  366. Chudzik, Michael P.; Schepis, Dominic J.; Black, Linda, Sige channel epitaxial development for high-k PFET manufacturability.
  367. Helmut Puchner, Silicon carbide CMOS channel.
  368. Czajkowski,Greg; Miller,Mike F., Solutions for constraint satisfaction problems requiring multiple constraints.
  369. Wirbeleit, Frank, Static RAM cell design and multi-contact regime for connecting double channel transistors.
  370. Lahner, Juergen K.; Balasubramanian, Balamurugan; Chaturvedula, Kavitha, Statistical design closure.
  371. Passlack, Matthias, Step doping in extensions of III-V family semiconductor devices.
  372. Hynecek, Jaroslav, Stratified photodiode for high resolution CMOS image sensor implemented with STI technology.
  373. Pan, James, Structure and method for forming power devices with carbon-containing region.
  374. Liu, Yaocheng; Chidambarrao, Dureseti; Gluschenkov, Oleg; Holt, Judson R; Mo, Renee T; Rim, Kern, Structure and method for mobility enhanced MOSFETs with unalloyed silicide.
  375. Forbes Leonard ; Noble Wendell P., Structure and method for reducing threshold voltage variations due to dopant fluctuations.
  376. Mandelman, Jack Allan; Tonti, William Robert, Structure incorporating latch-up resistant semiconductor device structures on hybrid substrates.
  377. Fwu-Iuan Hshieh, Switching speed improvement in DMO by implanting lightly doped region under gate.
  378. Foo, Yoong Chert, Synchronisation of execution threads on a multi-threaded processor.
  379. Gomm, Tyler J.; Johnson, Gary M., Synchronization devices having input/output delay model tuning elements in signal paths to provide tuning capabilities to offset signal mismatch.
  380. Cranford, Jr., Hayden C.; Iadanza, Josep A.; Ventrone, Sebastian T., System and method for balancing delay of signal communication paths through well voltage adjustment.
  381. Brian E. Stine, System and method for product yield prediction.
  382. Stine, Brian E.; Hess, Christopher; Kibarian, John; Michaels, Kimon; Davis, Joseph C.; Mozumder, Purnendu K.; Lee, Sherry F.; Weiland, Larg H.; Ciplickas, Dennis J.; Stashower, David M., System and method for product yield prediction.
  383. Krull, Wade A.; Horsky, Thomas N., System and method for the manufacture of semiconductor devices by the implantation of carbon clusters.
  384. Koniaris,Kleanthes G.; Burr,James B., Systems and methods for integrated circuits comprising multiple body bias domains.
  385. Tschanz, James W.; Stan, Mircea R.; Narendra, Siva G.; De, Vivek K., Temperature dependent regulation of threshold voltage.
  386. Xu, Yanzhong; Watt, Jeffrey T., Test structure and method for measuring mismatch and well proximity effects.
  387. Kim, Sun-il; Park, Young-soo; Park, Jae-chul, Thin film transistors having multi-layer channel.
  388. Iwamatsu Seiichi (Suwa JPX), Thin-film SOI semiconductor device having heavily doped diffusion regions beneath the channels of transistors.
  389. Williford, Ethan, Threshold voltage adjustment for long-channel transistors.
  390. Misaki,Makoto, Threshold voltage control layer in a semiconductor device.
  391. Hanafi,Hussein I.; Dennard,Robert H.; Haensch,Wilfried E., Threshold voltage roll-off compensation using back-gated MOSFET devices for system high-performance and low standby power.
  392. Dokumaci, Omer H.; Lee, Woo-Hyeong, Transistor having high mobility channel and methods.
  393. Wang, Zhongze, Transistor structures and processes for forming same.
  394. Yu, Bin; Lin, Ming-Ren; Pramanick, Shekhar, Transistor with local insulator structure.
  395. Li,Hong Jyh, Transistor with silicon and carbon layer in the channel region.
  396. Cho,Yong Soo, Transistors of semiconductor devices and methods of fabricating the same.
  397. Tolchinsky,Peter G.; Bohr,Mark; Yablok,Irwin, Transistors with increased mobility in the channel zone and method of fabrication.
  398. Shah, Uday; Doyle, Brian S.; Kavalieros, Jack T.; Jin, Been-Yih, Tri-gate patterning using dual layer gate stack.
  399. Burr James B., Tunable threshold SOI device using isolated well structure for back gate.
  400. Boyd,Diane C.; Holt,Judson R.; Ieong,MeiKei; Mo,Renee T.; Ren,Zhibin; Shahidi,Ghavam G., Ultra-thin body super-steep retrograde well (SSRW) FET devices.
  401. Fujisawa,Tadahito; Inoue,Soichi; Kobayashi,Makoto; Ichikawa,Masashi; Hagiwara,Tsuneyuki; Kodama,Kenichi, Wafer flatness evaluation method, wafer flatness evaluation apparatus carrying out the evaluation method, wafer manufacturing method using the evaluation method, wafer quality assurance method using .
  402. Chen, Xiangdong; Ho, Herbert L.; Wang, Geng, Work function engineering for eDRAM MOSFETs.
  403. Sohn, Yong-Sun, pMOS device having ultra shallow super-steep-retrograde epi-channel with dual channel doping and method for fabricating the same.

이 특허를 인용한 특허 (7)

  1. Chan, Kevin K.; Hashemi, Pouya; Leobandung, Effendi; Park, Dae-Gyu; Yang, Min, 3D atomic layer gate or junction extender.
  2. Bao, Xinyu; Ye, Zhiyuan; Chung, Hua, Co-doping process for n-MOS source drain application.
  3. Cai, Xiuyu; Liu, Qing; Xie, Ruilong; Yeh, Chun-Chen, Method for making strained semiconductor device and related methods.
  4. Bao, Xinyu; Ye, Zhiyuan; Chang, Flora Fong-Song; Dube, Abhishek; Li, Xuebin; Sanchez, Errol Antonio C.; Chung, Hua; Chu, Schubert S., Selective process for source and drain formation.
  5. Chan, Kevin K.; Hashemi, Pouya; Leobandung, Effendi; Park, Dae-Gyu; Yang, Min, Shallow extension junction.
  6. Chan, Kevin K.; Hashemi, Pouya; Leobandung, Effendi; Park, Dae-Gyu; Yang, Min, Shallow extension junction.
  7. Ranade, Pushkar; Shifren, Lucian; Sonkusale, Sachin R., Source/drain extension control for advanced transistors.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로