$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

FinFET device having a channel defined in a diamond-like shape semiconductor structure 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • H01L-029/66
  • H01L-029/78
  • H01L-029/04
출원번호 US-0220979 (2011-08-30)
등록번호 US-8841701 (2014-09-23)
발명자 / 주소
  • Lin, You-Ru
  • Wu, Cheng-Hsien
  • Ko, Chih-Hsin
  • Wann, Clement Hsingjen
출원인 / 주소
  • Taiwan Semiconductor Manufacturing Company, Ltd.
대리인 / 주소
    Haynes and Boone, LLP
인용정보 피인용 횟수 : 79  인용 특허 : 2

초록

The present disclosure provides a FinFET device. The FinFET device comprises a semiconductor substrate of a first semiconductor material; a fin structure of the first semiconductor material overlying the semiconductor substrate, wherein the fin structure has a top surface of a first crystal plane or

대표청구항

1. A FinFET device comprising: a semiconductor substrate of a first semiconductor material;a fin structure of the first semiconductor material overlying the semiconductor substrate, wherein the fin structure has a top surface of a first crystal plane orientation and a sidewall surface of a third cry

이 특허에 인용된 특허 (2)

  1. Frank, Martin M.; Koester, Steven J.; Ott, John A.; Shang, Huiling, Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment.
  2. Shin, Dong-Suk; Tetsuji, Ueno; Lee, Seung-Hwan; Lee, Ho; Rhee, Hwa-Sung, Semiconductor devices having faceted channels and methods of fabricating such devices.

이 특허를 인용한 특허 (79)

  1. Peng, Cheng-Yi; Yang, Yu-Lin; Ho, Chia-Cheng; Chiu, Jung-Piao; Lee, Tsung-Lin; Yeh, Chih Chieh; Chang, Chih-Sheng; Yeo, Yee-Chia, Asymmetric source/drain depths.
  2. Huang, Yu-Lien, Asymmetric source/drain epitaxy.
  3. Basker, Veeraraghavan S.; Liu, Zuoguang; Yamashita, Tenko; Yeh, Chun-Chen, Composite spacer enabling uniform doping in recessed fin devices.
  4. Colinge, Jean-Pierre; Diaz, Carlos H.; Yeo, Yee-Chia, Devices having a semiconductor material that is semimetal in bulk and methods of forming the same.
  5. Colinge, Jean-Pierre; Diaz, Carlos H; Yeo, Yee-Chia, Devices having a semiconductor material that is semimetal in bulk and methods of forming the same.
  6. Oxland, Richard Kenneth; Duriez, Blandine; Dal, Mark van; Holland, Martin Christopher, Elongated semiconductor structure planarization.
  7. Kelly, Andrew Joseph; Chen, Yi-Hsiu, Etch method with surface modification treatment for forming semiconductor structure.
  8. Peng, Cheng-Yi; Yeh, Chih Chieh; Lee, Tsung-Lin, FINFET structures and methods of forming the same.
  9. Huang, Yi-Ching; Chiang, Tsung-Yu; Yang, Ya-Wen, Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same.
  10. Hsieh, Sheng-Lin; Chen, I-Chih; Huang, Chih-Mu; Lin, Ching-Pin; Hsiao, Ru-Shang; Kuan, Ting-Chun, Fin-type field effect transistor structure and manufacturing method thereof.
  11. Chang, Che-Cheng; Lin, Chih-Han; Tseng, Horng-Huei, FinFET and method of forming same.
  12. Liao, Chih-Teng; Chen, Chih-Shan; Chiu, Yi-Wei; Hsia, Ying Ting; Weng, Tzu-Chan, FinFET device and method of forming same.
  13. Liao, Chih-Teng; Chen, Chih-Shan; Chiu, Yi-Wei; Hsia, Ying Ting; Weng, Tzu-Chan, FinFET device and method of forming same.
  14. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  15. Yu, Chia-Ta; Wang, Sheng-Chen; Yang, Cheng-Yu; Lee, Kai-Hsuan; Yeong, Sai-Hooi; Yang, Feng-Cheng; Chen, Yen-Ming, FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same.
  16. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  17. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  18. Ching, Kuo-Cheng; Liu, Chi-Wen, FinFET devices and methods of forming.
  19. Lee, Yi-Jing; Wu, Cheng-Hsien; Ko, Chih-Hsin; Wann, Clement Hsingjen, FinFET devices with unique fin shape and the fabrication thereof.
  20. Yeh, Chih Chieh; Peng, Cheng-Yi; Lee, Tsung-Lin, FinFET structures and methods of forming the same.
  21. Lin, Wun-Jie; Su, Yu-Ti; Chu, Li-Wei; Chen, Bo-Ting, FinFET with ESD protection.
  22. Ching, Kuo-Cheng; Tsai, Ching-Wei; Leung, Ying-Keung, FinFET with source/drain structure and method of fabrication thereof.
  23. Cheng, Tung-Wen; Lo, Wei-Yang; Chen, Chih-Shan, FinFETs and methods of forming FinFETs.
  24. Wu, Cheng-Ta; Chen, Cheng-Wei; Wu, Hong-Yi; Jangjian, Shiu-Ko; You, Wei-Ming; Wang, Ting-Chun, FinFet structure.
  25. More, Shahaji B.; Pan, Zheng-Yang; Wang, Chun-Chieh; Lee, Cheng-Han; Chang, Shih-Chieh, Formation method and structure semiconductor device with source/drain structures.
  26. Dasgupta, Sansaptak; Then, Han Wui; Gardner, Sanaz; Chu-Kung, Benjamin; Radosavljevic, Marko; Sung, Seung Hoon; Chau, Robert, Forming III-V device structures on (111) planes of silicon fins.
  27. Colinge, Jean-Pierre; Chang, Gwan Sin; Diaz, Carlos H., Inducing localized strain in vertical nanowire transistors.
  28. Ching, Kuo-Cheng; Tsai, Ching-Wei; Wu, Chung-Cheng; Wang, Chih-Hao; Hsieh, Wen-Hsing; Leung, Ying-Keung, Integrated circuit structure with substrate isolation and un-doped channel.
  29. Tsai, Cheng-Hsiung; Lee, Chung-Ju; Shue, Shau-Lin; Bao, Tien-I, Interconnect structure having an etch stop layer over conductive lines.
  30. Zheng, Ping; Toh, Eng Huat; Quek, Kiok Boone Elgin; Sun, Yuan, Low power embedded one-time programmable (OTP) structures.
  31. Tsai, Chun Hsiung; Hwang, Yuan-Ko, Metal-insensitive epitaxy formation.
  32. Liu, CheeWee; Tu, Wen-Hsien; Huang, Shih-Hsien; Peng, Cheng-Yi; Chang, Chih-Sheng; Yeo, Yee-Chia, Method and structure for finFET devices.
  33. Colinge, Jean-Pierre; Chang, Gwan Sin; Diaz, Carlos H., Method for inducing strain in vertical semiconductor columns.
  34. Tsai, Chun Hsiung; Lu, Wei-Yuan, Method for semiconductor device fabrication.
  35. Lee, Wei-Yang; Hsu, Tzu-Hsiang; Chen, Ting-Yeh; Yang, Feng-Cheng, Method for semiconductor device fabrication with improved source drain epitaxy.
  36. Huang, Yi-Min; Chang, Shih-Chieh; Lee, Cheng-Han, Method of manufacturing semiconductor device.
  37. Yu, De-Wei; Lo, Chia-Ping; Yao, Liang-Gi; Chang, Weng; Yeo, Yee-Chia; Fang, Ziwei, Method of semiconductor integrated circuit fabrication.
  38. Yu, De-Wei; Perng, Tsu-Hsiu; Fang, Ziwei, Method of semiconductor integrated circuit fabrication.
  39. Kim, Ju-Youn; Kim, Min-Choul; Kim, Bo-Soon; Park, Min-Yeop; Lee, Sang-Min, Methods of manufacturing semiconductor devices including device isolation processes.
  40. Ching, Kuo-Cheng; Tsai, Ching-Wei; Diaz, Carlos H.; Wang, Chih-Hao; Lien, Wai-Yi; Leung, Ying-Keung, Multi-gate device and method of fabrication thereof.
  41. Colinge, Jean-Pierre; Ching, Kuo-Cheng; Guo, Ta-Pen; Diaz, Carlos H., Self-aligned wrapped-around structure.
  42. Chen, Chao-Hsuing, Semiconductor device and manufacturing method thereof.
  43. Huang, Yi-Min; Chen, Hsiu-Ting; Chang, Shih-Chieh, Semiconductor device and manufacturing method thereof.
  44. Huang, Yi-Min; Chen, Hsiu-Ting; Chang, Shih-Chieh, Semiconductor device and manufacturing method thereof.
  45. Li, Kun-Mu; Yu, Ming-Hua; Kwok, Tsz-Mei; Yang, Chan-Lon, Semiconductor device and manufacturing method thereof.
  46. Chang, Che-Cheng; Lin, Chih-Han; Tseng, Horng-Huei, Semiconductor device and method.
  47. Chang, Chia-Ming; Liu, Chi-Wen; Huang, Hsin-Chieh; Li, Cheng-Chien, Semiconductor device and method of forming the same.
  48. Chang, Chia-Ming; Liu, Chi-Wen; Huang, Hsin-Chieh; Li, Cheng-Chien, Semiconductor device and method of forming the same.
  49. Chang, Chia-Ming; Liu, Chi-Wen; Li, Cheng-Chien; Huang, Hsin-Chieh, Semiconductor device and method of forming the same.
  50. Lee, Yi-Jing; Yu, Jeng-Wei; Chou, Li-Wei; Kwok, Tsz-Mei; Yu, Ming-Hua, Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same.
  51. Lo, Hung; Hsu, Chia-Jung; Tsai, Teng-Chun; Hsu, Tzu-Hsiang; Yang, Feng-Cheng; Chen, Ying-Ho, Semiconductor device including Fin FET and manufacturing method thereof.
  52. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin- FET and manufacturing method thereof.
  53. Huang, Gin-Chen; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun, Semiconductor device including Fin-FET and manufacturing method thereof.
  54. Lo, Hung; Hsu, Tzu-Hsiang; Hsu, Chia-Jung; Yang, Feng-Cheng; Tsai, Teng-Chun; Chen, Ying-Ho, Semiconductor device including fin FET and manufacturing method thereof.
  55. Chang, Che-Cheng; Lin, Jr-Jung; Lin, Chih-Han, Semiconductor device including fin structures and manufacturing method thereof.
  56. Tsai, Chun Hsiung; Chen, Kei-Wei, Semiconductor device including fin structures and manufacturing method thereof.
  57. Chang, Che-Cheng; Lin, Jr-Jung; Lin, Chih-Han, Semiconductor device including fin structures and manufacturing method therof.
  58. Fung, Ka-Hing; Chen, Yen-Ming, Semiconductor device including fin structures disposed over buffer structures.
  59. Fung, Ka-Hing; Chen, Yen-Ming, Semiconductor device including fin structures disposed over buffer structures.
  60. Fung, Ka-Hing; Chen, Yen-Ming, Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof.
  61. Liaw, Jhon-Jhy, Semiconductor device structure.
  62. Hsiao, Wen Chu; Hsiao, Ju Wen; Chou, Ying Min; Ko, Hsiang Hsiang; Wang, Ying-Lang, Semiconductor devices utilizing partially doped stressor film portions.
  63. Hsiao, Wen Chu; Hsiao, Ju Wen; Chou, Ying Min; Ko, Hsiang Hsiang; Wang, Ying-Lang, Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same.
  64. Hsu, Meng-Fang; Wu, Pei-Lin; Liang, Chun-Sheng, Semiconductor structure and manufacturing method thereof.
  65. Wang, Tai-Yuan, Semiconductor structure and manufacturing method thereof.
  66. Lee, Wei-Yang; Hsu, Tzu-Hsiang; Chen, Ting-Yeh; Yang, Feng-Cheng, Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy.
  67. Wu, Chung-Chiang; Lee, Chia-Ching; Tsau, Hsueh-Wen; Chou, Chun-Yuan; Su, Ching-Hwanq, Structure and formation method of semiconductor device structure.
  68. JangJian, Shiu-Ko; Wu, Chih-Nan; Lin, Chun Che; Wang, Ting-Chun, Structure and method for 3D FinFET metal gate.
  69. Liaw, Jhon Jhy, Structure and method for an SRAM circuit.
  70. Lee, Yi-Jing; Kwok, Tsz-Mei; Yu, Ming-Hua, Structure and method for semiconductor device.
  71. More, Shahaji B.; Pan, Zheng-Yang; Wang, Chun-Chieh; Lee, Cheng-Han; Chang, Shih-Chieh, Structure of semiconductor device with source/drain structures.
  72. Ching, Kuo-Cheng; Ju, Shi Ning; Wang, Chih-Hao; Leung, Ying-Keung; Diaz, Carlos H., System and method for widening Fin widths for small pitch FinFET devices.
  73. Lee, Tzung-Chi; Hsieh, Tung-Heng; Young, Bao-Ru; Chang, Yung Feng, System and method of fabricating ESD FinFET with improved metal landing in the drain.
  74. Chou, Chen Cheng; Sun, Chung-Ren; Wu, Chii-Ming; Wu, Cheng-Ta; Lin, Tzu kai, Tilt implantation for STI formation in FinFET structures.
  75. Lien, Wai-Yi; Chiu, Yi-Hsun; You, Jia-Chuan; Huang, Yu-Xuan; Wang, Chih-Hao, Top metal pads as local interconnectors of vertical transistors.
  76. Lien, Wai-Yi; Chiu, Yi-Hsun; You, Jia-Chuan; Huang, Yu-Xuan; Wang, Chih-Hao, Top metal pads as local interconnectors of vertical transistors.
  77. Lien, Wai-Yi; Chiu, Yi-Hsun; You, Jia-Chuan; Huang, Yu-Xuan; Wang, Chih-Hao, Top metal pads as local interconnectors of vertical transistors.
  78. Gluschenkov, Oleg; Mehta, Sanjay C.; Mochizuki, Shogo; Reznicek, Alexander, Vertical transistor top epitaxy source/drain and contact structure.
  79. Lin, Yu-Chang; Nieh, Chun-Feng; Chang, Huicheng; Chang, Tien-Shun; Chien, Wei-Ting; Tsao, Chih-Pin; Li, Hou-Ju, finFET device and methods of forming.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로