$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

슈퍼 칩 구현을 위한 헤테로집적화 기술
Ultimate Heterogeneous Integration Technology for Super-Chip 원문보기

마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, v.17 no.4, 2010년, pp.1 - 9  

이강욱 (동북대학(일본))

초록
AI-Helper 아이콘AI-Helper

삼차원 집적화기술의 현황과 과제 및 향후에 요구되어질 새로운 삼차원 집적화기술의 필요성에 대해 논의를 하였다. Super-chip 기술이라 불리우는 자기조직화 웨이퍼집적화 기술 및 삼차원 헤테로집적화 기술에 대해 소개를 하였다. 액체의 표면장력을 이용하여지지 기반위에 다수의 KGD를 일괄 실장하는 새로운 집적화 기술을 적용하여, KGD만으로 구성된 자기조직화 웨이퍼를 다층으로 적층함으로써 크기가 다른 칩들을 적층하는 것에 성공을 하였다. 또한 삼차원 헤테로집적화 기술을 이용하여 CMOS LSI, MEMS 센서들의 전기소자들과 PD, VC-SEL등의 광학소자 및 micro-fluidic 등의 이종소자들을 삼차원으로 집적하여 시스템화하는데 성공하였다. 이러한 기술은 향후 TSV의 실용화 및 궁극의 3-D IC인 super-chip을 구현하는데 필요한 핵심기술이다.

Abstract AI-Helper 아이콘AI-Helper

Three-dimensional (3-D) integration is an emerging technology, which vertically stacks and interconnects multiple materials, technologies, and functional components such as processor, memory, sensors, logic, analog, and power ICs into one stacked chip to form highly integrated micro-nano systems. Si...

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 삼차원집적화기술의 현황과 과제 및 향후에 요구되어질 새로운 삼차원집적화기술의 필요성에 대해 논의를 하였다. Super-chip 기술이라 불리우는 자기조직화 웨이퍼집 적화기술 및 삼차원헤테로집적화기술에 대해 소개를 하였다. 액체의 표면장력을 이용하여 지지기반위에 다수의 KGD를 일괄실장하는 새로운 집적화기술을 적용하여, KGD만으로 구성된 자기조직화 웨이퍼를 다층으로 적층함으로써 크기가 다른 칩들을 적층하는 것에 성공을 하였다.
  • 본 논문에서는 삼차원집적화기술의 현황 및 과제에 대해 개략적으로 언급을 한 후, 본 연구실에서 개발한 새로운 개념의 삼차원집적화 기술인 자기조직화 웨이퍼집적화 기술 및 삼차원헤테로집적화기술에 대해 소개를 하고자 한다. 우리는 이를 수퍼 칩(Super-Chip) 기술이라 부른다.
  • 자동차 분야 등 반도체 기술의 새로운 시장을 창출하기 위해, CMOS LSI, MEMS 센서 등과 같은 전기소자와 포토다이오드(PD), 발광소자(VCSEL), optical waveguide 및 modulator등과 같은 광학(Photonic) 소자 등의 이종소자들을 융합한 광전자시스템의 구현을 통해 성능을 획기적으로 향상시키고 소비전력을 낮추고자 하는 요구가 증가하고 있다. 본 연구실에서는 CMOS LSI, MEMS 센서 및 광학(Photonic) 소자 등의 이종소자들을 높은 수율 및 낮은 제조비용으로 융합할 수 있는 새로운 삼차원헤테로집적화기술(3D Heterogeneous Integration Technology)을 개발하였다.13-14)
  • 본 연구실에서는 다양한 크기와 종류를 갖는 CMOS 소자들과 MEMS 센서소자들을 웨이퍼레벨에서 일괄 집적할 수 있는 헤테로집적화기술을 개발하였다. Fig.
  • 그러나 두께가 100 µm 이상의 되는 칩을, 노광 및 도금공정을 이용하여 Cu sidewall interconnection을 직접 형성하는 것은 공정상으로 매우 어렵다. 본 연구실에서는 마이크로머시닝 공정을 이용하여 제작한 cavity chip을 적용하여 두꺼운 MEMS 칩을 전기적으로 접속시키는 배선기술을 개발하였다. 사진에서 나타나 있듯이 두께 360 µm을 갖는 MEMS 칩이 Cu TSV와 beam-lead wire로 구성되어진 cavity chip을 통해 기반과 연결되어져 있다.
  • 이 기술을 이용하면 KGD들의 일괄적층 뿐 만 아니라 크기가 다른 칩 및 다른 기술로 제작된 다양한 종류의 칩 들을 일괄적층하는 것도 가능하게 된다. 본 연구실에서는 이러한 기술을 이용하여 Fig. 5에서 보여주고 있는 궁극의 3-D IC인 superchip의 구현을 목표로 하고 있다.
  • 삼차원집적화기술의 현황과 과제 및 향후에 요구되어질 새로운 삼차원집적화기술의 필요성에 대해 논의를 하였다. Super-chip 기술이라 불리우는 자기조직화 웨이퍼집 적화기술 및 삼차원헤테로집적화기술에 대해 소개를 하였다.
  • 칩 접합 방식 (Die-to-Die)의 경우 양품 칩(KGD: Known Good Die)을 선별적으로 적층할 수 있으므로 높은 수율을 확보할 수 있으나 생산성이 낮다는 단점이 있다. 이러한 문제를 해결하기 위해 본 연구실에서는 KGD들 만으로 구성된 자기조직화된 웨이퍼(Self-assemblied Wafer)를 접합하여 적층하는 새로운 웨이퍼집적화기술을 개발하였다. 이를 통해 높은 수율의 삼차원적층칩을 높은 생산성을 통해 낮은 비용으로 제작하는 것이 가능할 것으로 기대된다.
  • 이와 같은 삼차원집적화기술에의 요구에 대응하기 위해 본 연구실에서는 KGD들로 구성된 자기조직화 웨이퍼를 여러층으로 접합하는 새로운 삼차원집적화기술을 개발하였다.11-12) 이 새로운 삼차원집적화기술은 Fig.

가설 설정

  • 칩을 적층하고자 하는 부위는 친수성이 강한 산화막으로 이루어지고 주변부는 소수성이 강한 실리콘 영역으로 구성되어진다 (a). 상온에서 적정한 양의 액체를 칩을 적층하고자 하는 친수성 영역에 공급을 한 후 (b), 복수의 KGD 들을 친수성 영역의 상부에서 간략하게 pre-aligning 을 한 후에 일괄적으로 떨어뜨리면 (c), 액체의 표면장력으로 인해 복수의 칩들이 동시에 자동적으로 aligning이 된 후 , 공급된 액체들이 증발이 되면 KGD들이 친수성 영역상에 접합을 하게 된다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
소자의 미세화로 인해 발생하는 문제를 해결하기 위해 어떤 기술이 필요한가? 그러나 반도체 소자의 미세화가 22 nm node, 11 nm node 이하로 진행됨에 따른 누설전류(Leakage Current)의 증가, 특성 불균형의 증가, 소비전력의 증대 및 노광장치등의 고가화에 따른 제조 코스트의 증가 등, 소자 미세화에 따른 다양한 문제들이 대두되어지고 있다. 이와같은 문제들을 해결하기 위해서는 소자의 미세화 이외에, LSI에 실장기술, MEMS (Mechanical-electrical micro system) 기술 및 포토닉스기술 등의 이종기술을 융합시킨 새로운 직접화기술이 필요로 하다. 최근 주목을 받고 있는 대표적인 직접화기술 중 하나가 삼차원집적화(Threedimensional Integration) 기술이다.
11nm node 이하로 반도체 소자가 미세화 됨에 따라 어떤 문제가 발생하고 있는가? Moore의 법칙으로 알려져 있듯이, 지금까지 LSI는 미세 가공기술의 진보에 따른 반도체소자의 미세화를 통해 2-3년에 4배라는 놀라운 속도로 고성능화, 대용량화가 달성 되어져 왔다. 그러나 반도체 소자의 미세화가 22 nm node, 11 nm node 이하로 진행됨에 따른 누설전류(Leakage Current)의 증가, 특성 불균형의 증가, 소비전력의 증대 및 노광장치등의 고가화에 따른 제조 코스트의 증가 등, 소자 미세화에 따른 다양한 문제들이 대두되어지고 있다. 이와같은 문제들을 해결하기 위해서는 소자의 미세화 이외에, LSI에 실장기술, MEMS (Mechanical-electrical micro system) 기술 및 포토닉스기술 등의 이종기술을 융합시킨 새로운 직접화기술이 필요로 하다.
최근 반도체 소자의 직접화를 위하여 삼차원집적화 기술이 급격하게 발생된 이유는 무엇때문인가? 최근 주목을 받고 있는 대표적인 직접화기술 중 하나가 삼차원집적화(Threedimensional Integration) 기술이다. 최근 수년 사이에 삼차 원집적화 기술이 급속하게 발전한 배경에는, 길이가 수십 µm로 매우 짧은 실리콘 관통전극(TSV: Through-Silicon Via)를 통해 여러층으로 적층화 된 칩들이 전기적으로 접속된 삼차원집적회로(3-D IC)가 병렬처리에 적합하고, 시스템의 소형화, 고속화 및 저소비전력화를 동시에 실현시 킬 수 있다는 가능성들이 보고되었기 때문이다.1-10)
질의응답 정보가 도움이 되었나요?

참고문헌 (37)

  1. T. Kunio, K. Oyama, Y. Hayashi, and M. Morimoto, "Three dimensional ICs, having four stacked active device layers," IEEE International Electron Devices Meeting (IEDM), 837 (1989). 

  2. M. Koyanagi, H. Kurino, K-W. Lee, K. Sakuma, N. Miyakawa, H. Itani, "Future System-on-Silicon LSI chips," IEEE MICRO, 18(4), 17 (1998). 

  3. S.J. Souri, K. Banerjee, A. Mehrotra, and K.C. Saraswat, "Multiple Si layer ICs: Motivation, performance analysis, and design implications," in Proc. 37th ACM Design Automation Conf., 873 (2000). 

  4. P. Ramm, D. Bonfert, H. Gieser, J. Haufe, F. Iberl, A. Klumpp, A. Kux, R. Wieland, "Interchip via technology for vertical system integration," Proc. IEEE Int. Interconnect Technology Conf. (IITC), 160 (2001). 

  5. K. Banerjee, S.J. Souri, P. Kapur, and K.C. Saraswat, "3-D ICs: A Novel Chip Design for Improving Deep-Submicrometer Interconnect Performance and Systems-on-Chip Integration," PROCEEDING OFTHE IEEE, 89(5), 602 (2002). 

  6. M. Koyanagi, T. Fukushima, and T. Tanaka, "High-density through silicon vias for 3D-LSIs," Proc. IEEE 97(1), 49 (2006). 

  7. K.W Lee, "The next generation package technology for higher performance and smaller systems", in Proc. 3rd Int. Conf. 3D Architect. Semicond. Integr. Packag., (2006). 

  8. J.-Q. Lu, K. Rose, and S. Vitkavage, "3D Integration: Why, what, who, when?", Future Fab Int., 23, 25 (2007). 

  9. F. Carson, "3D SiP development and trends", in 3D packag. Workshop IMAPS Int. Conf. Exhib. Device Packag. Conf., (2007). 

  10. Min-Seung Yoon, "Introduction of TSV (Through Silicon Via) Technology", J. Microelectron. Packag. Soc., 16(1), 1 (2009). 

  11. T. Fukushima, Y. Yamada, H. Kikuchi, and M. Koyanagi, "New Three-Dimensional Integration Technology Using Self-Assembly Technique", IEEE International Electron Devices Meeting (IEDM), 359 (2005). 

  12. T. Fukushima, H. Kikuchi, Y. Yamada, T. Konno, J. Liang, K. Sasaki, K. Inamura, T. Tanaka, and M. Koyanagi, "New Three-Dimensional Integration Technology Based on Reconfigured Wafer-on-Wafer Bonding Technique", IEEE International Electron Devices Meeting (IEDM), 985 (2007). 

  13. K-W Lee, and M. Koyanagi, "Novel Interconnection Technology for Heterogeneous Integration of MEMS-LSI Multi-Chip Module", Journal of Microsystem and Technology, 16(3), 441 (2010) 

  14. K-W Lee, A. Noriki, K. Kiyoyama, S. Kanno, W-C Jeong, T. Fukushima, T. Tanaka, M. Koyanagi, "3D Heterogeneous Opto-Electronic Integration Technology for System-on-Silicon (SOS)", IEEE International Electron Devices Meeting (IEDM), 531 (2009). 

  15. Mitsumasa Koyanagi, Takafumi Fukushima, Kang-Wook Lee, and Tetsu Tanaka, "Super-chip Aiming Ultimate Heterogeneous Integration", IEICE, 93(11), 918 (2010). 

  16. M. Koyanagi, "Roadblocks in Achieving Three-Dimensional LSI," Proc. 8th Symposium on Future Electron Devices, 50 (1989). 

  17. T. Matsumoto, M. Satoh, K. Sakuma, H. Kurino, N. Miyakawa, H. Itani, and M. Koyanagi, "New three dimensional wafer bonding technology using the adhesive injection method", Jpn. J. Appl., 37(3B), 1217 (1998). 

  18. Y. Igarashi, T. Morooka, Y. Yamada, T. Nakamura, K.W.Lee, K.T. Park, H. Itani, and M. Koyanagi, "Filling of tungsten into deep trench using time-modulation CVD method", Proc. Int. Conf. Solid State Devices and Mater., 34 (2001). 

  19. M. Koyanagi, T. Nakamura, Y. Yamada, H. Kikuchi, T. Fukushima, T. Tanaka, and H. Kurino, "Three-dimensional integration technology based on wafer bonding with vertical buried interconnections", IEEE Trans. Electron Devices, 53(11), 2799 (2006). 

  20. T. Matsumoto, Y. Kudoh, M. Tanara, K.H. Yu, N. Miyakawa, H. Itani, T. Ichikizaki, H. Tsukamoto, and M. Koyanagi, "Threedimensional integration technology based on wafer bonding technique using micro-bumps", Proc. Int. Conf. Solid State Devices and Mater., 1073 (1995). 

  21. M. Motoyoshi, K. Kamibayashi, M. Koyanagi, and M. Bonkohara, "Current and future 3-dimensional LSI technologies", Tech. Dig. 3D System Integration Conf., 8.1 (2007). 

  22. Y. Ohara, A. Noriki, K. Sakuma, K.W. Lee, J. Bea, F. Yamada, T. Fukushima, T. Tanaka, and M. Koyanagi, "10 um fine pitch Cu/Sn micro-bumps for 3-D super-chip stack", Tech. Dig. 3D System Integration Conf., (2009). 

  23. T. Matsumoto, M. Satoh, K. Sakuma, Hu. Kurino, N. Miyakawa, H. Itani, T. Ichikizaki, H. Tsukamoto, and M. Koyanagi, "New three-dimensional wafer bonding technology using adhesive injection method", Proc. Int. Conf. Solid State Devices and Mater., 460 (1997). 

  24. H. Kurino, K-W. Lee, K. Sakuma, T. Nakamura, M. Koyanagi, "A New Wafer Scale Chip-on-Chip (W-COC) Packaging Technology using Adhesive Injection Method", Jpn. J.Appl.Phys., 38, 2406 (1999). 

  25. H. Kurino, K-W. Lee, T. Nakamura, K. Sakuma, K-T. Park, N. Miyakawa, H. Shimatzu, K. Inamura, M. Koyanagi, "Intelligent Image Sensor Chip with Three Dimensional Structure", IEEE International Electron Devices Meeting (IEDM), 879 (1999). 

  26. K-W. Lee, T. Nakamura, T. Ono, Y. Yamada, H. Hashimoto, KT. Park, H. Kurino, M. Koyanagi, "Three Dimensional Shared Memory Fabricated using Wafer Stacking Technology", IEEE International Electron Devices Meeting (IEDM), 165 (2000). 

  27. M. Koyanagi, Y. Nakagawa, K-W. Lee, T. Nakamura, Y. Yamada, K. Inamura, K-T. Park, H. Kurino, "Neuromorphic Vision Chip Fabricated using Three-Dimensional Integration Technology", IEEE Int. Solid State Circuits Conference (ISSCC), 270 (2001). 

  28. T. Ono, T. Mizukusa, T. Nakamura, Y. Yamada, Y. Igarashi, T. Morooka, H. Kurino, and M. Koyanagi, "Three-dimensional processor system fabricated by wafer stacking technology", Pro. Int. Symp. Low-Power and High-Speed Chips (COOL Chips), 186 (2002). 

  29. K. Hozawa, H. Miyazaki, and J. Yugami, "True influence of wafer-backside copper contamination during the back-end process on device characteristics," IEEE International Electron Devices Meeting (IEDM), 737 (2002). 

  30. J.C. Bae, K.W. Lee, T. Fukushima, T. Tanaka, and M. Koyanagi, "Evaluation of Cu Contamination at Backside Surface of Thinned Wafer in 3-D Integration by Transient Capacitance Measurement", IEEE Electron Device Letters, (in press, January 2011). 

  31. J.-C. Bea, K.-W. Lee, M. Murugesan, T. Fukushima, T. Tanaka and M. Koyanagi, "Evaluation of Copper Diffusion in Thinned Wafer with Extrinsic Gettering for 3D-LSI by Capacitance-Time (C-t) measurement", Int. Conf. On. Solid State Devices and Materials (SSDM), Sep. (2010). 

  32. M. Murugesan, J-C. Bea, H. Kino, Y. Ohara, M. Kojima, A. Noriki, K-W. Lee, K. Kiyoyama, T. Fukushima, H. Nohira, T. Hattori, E. Ikenaga, T. Tanaka, M. Koyanagi, "Impact of Remnant Stress/Strain and Metal Contamination in Extremely Thin (-10 $\mu$ m) Si Wafers in the 3D Integration Technology", IEEE International Electron Devices Meeting (IEDM), 361 (2009). 

  33. Sung-Hwan Hwang, Byoung-Joon Kim, Sung-Yup Jung, Ho-Young Lee and Young-Chang Joo, "Thermo-Mechanical Analysis of Though-silicon-via in 3D Packaging", J. Microelectron. Packag. Soc., 17(1), 69 (2010). 

  34. Eun-Kyung Kim, "Assessment of ultra-thin Si wafer thickness in 3D wafer stacking", Microelectronics Reliability, 50, 195 (2010). 

  35. T. Fukushima, E. Iwata, T. Konno, J.-C. Bea, K.-W. Lee, T. Tanaka, and M. Koyanagi, "Surface tension-driven chip selfassembly with load-free hydrogen fluoride-assisted direct bonding at room temperature for three-dimensional integrated circuits", APPLIED PHYSICS LETTERS, 96(15), 154105 (2010). 

  36. Eiji Iwata, Takafumi Fukushima, Ohara Yuki, Kang-Wook Lee, Tetsu Tanaka, and Mitsumasa Koyanagi, "High-Precision Chip Alignment Using Self-Assembly Technology for Three-Dimensional Integrated Circuit Applications", IEICE, 93-C(11), 493 (2010). 

  37. K.W. Lee, A. Noriki, K. Kiyoyama, T. Fukushima, T. Tanaka, and M. Koyanagi, "3D hybrid integration technology of CMOS, MEMS and photonic circuits for opto-electronic heterogeneous integrated systems", IEEE Trans. Electron Devices, (in press, March 2011). 

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로