$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

위상변위 극자외선 마스크의 흡수체 패턴의 기울기에 대한 오차허용도 향상
Improved Margin of Absorber Pattern Sidewall Angle Using Phase Shifting Extreme Ultraviolet Mask 원문보기

반도체디스플레이기술학회지 = Journal of the semiconductor & display technology, v.15 no.2, 2016년, pp.32 - 37  

장용주 (한양대학교 공과대학 나노반도체공학과) ,  김정식 (한양대학교 공과대학 나노반도체공학과) ,  홍성철 (한양대학교 공과대학 신소재공학과) ,  안진호 (한양대학교 공과대학 신소재공학과)

Abstract AI-Helper 아이콘AI-Helper

Sidewall angle (SWA) of an absorber stack in extreme ultraviolet lithography mask is considered to be $90^{\circ}$ ideally, however, it is difficult to obtain $90^{\circ}$ SWA because absorber profile is changed by complicated etching process. As the imaging performance of the ...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 연구에서는 에어리얼 이미지 및 감광제 패터닝 시뮬레이션을 통해 SWA이 발생했을 때 바이너리 마스크와 위상 변위 마스크의 이미징 특성 및 노광 공정의 허용도를 확인하였다. 그 결과 위상 변위 마스크가 수직 및 수평 패턴에서 이미징 특성의 변화량이 바이너리 마스크에 비해 작은 결과를 나타내었다.
  • 본 연구에서는 일반적으로 Ta 계열의 흡수체를 사용하는 바이너리 마스크에 비해 얇은 흡수체 두께를 갖는 TaN/Mo 위상 변위 마스크를 제시하였다[13-15]. 위상 변위 마스크는 얇은 흡수체 두께를 가지고 있기 때문에 SWA 변화에 대한 흡수체 부피 변화가 줄어들게 되고 그에 따라 이미징 특성이 SWA에 의해 받는 영향이 줄어들 것으로 예상된다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
흡수체의 플라즈마 식각은 이론상 90°의 측벽 각도를 가지는 흡수체를 형성해야하는데 실제로 해당값을 얻지 못하는 이유는? 일반적으로 흡수체의 플라즈마 식각은 이방성식 각을 목표로 진행되기 때문에 이론상으로는 식각 후 90°의 측벽 각도(sidewall angle, SWA)를 가지는 흡수체가 형성되어야 한다. 하지만 실제로 플라즈마 식각을 진행했을 때 플라즈마 소스 파워와 기판 바이어스, 반응 기체의 종류 및 유량 조건에 따라 식각 후 최종 흡수체의 SWA이 달라지게 된다..
이론상 흡수체의 플라즈마 식각은 어떤 결과물을 형성하는가? 웨이퍼 상에 10 nm 이하의 선폭을 가지는 패턴을 전사하기 위해서는 이 선폭의 4배 크기인 수십 나노미터 단위의 흡수체 패턴을 전자빔 노광기술과 플라즈마 식각을 통해 다층 반사막 상에 구현해야 한다. 일반적으로 흡수체의 플라즈마 식각은 이방성식 각을 목표로 진행되기 때문에 이론상으로는 식각 후 90°의 측벽 각도(sidewall angle, SWA)를 가지는 흡수체가 형성되어야 한다. 하지만 실제로 플라즈마 식각을 진행했을 때 플라즈마 소스 파워와 기판 바이어스, 반응 기체의 종류 및 유량 조건에 따라 식각 후 최종 흡수체의 SWA이 달라지게 된다.
극자외선 노광 기술이란? 극자외선 노광 기술(EUV lithography, EUVL)은 13.5 nm 파장의 극자외선을 사용하는 노광 기술로 나노패턴을 구현하기 위한 가장 유망한 차세대 리소그래피 기술이다[1]. 웨이퍼 상에 10 nm 이하의 선폭을 가지는 패턴을 전사하기 위해서는 이 선폭의 4배 크기인 수십 나노미터 단위의 흡수체 패턴을 전자빔 노광기술과 플라즈마 식각을 통해 다층 반사막 상에 구현해야 한다.
질의응답 정보가 도움이 되었나요?

참고문헌 (15)

  1. ITRS organization, "International technology roadmap for semiconductors 2013 edition : Lithography summary", March, 13, 2015 from http://www.itrs2.net, (2014). 

  2. E. Hoshino, T. Ogawa, N. Hirano, H. Hoko, M. Takahashi, H. Yamanashi, A. Chiba, M. Ito, S. Okazaki, "Dry Etching of Ta Absorber for EUVL Masks", Proc. of SPIE, Vol. 4186, pp. 749-755 (2001). 

  3. R. Wistrom, Y. Sakamoto, J. Panton, T. Faure, T. Isogawa, A. McGuire, "Controlling the sidewall angle of advanced attenuated phase-shift photomasks for 14nm and 10nm lithography", Proc. of SPIE, Vol. 8880, 88800W (2013). 

  4. J. Karttunen, J. Kiihamaki, S. Franssila, "Loading effects in deep silicon etching", Proc. of SPIE, Vol. 4174, pp. 90-97 (2000) 

  5. S. Jensen and O. Hansen, "Characterization of the Microloading Effect in Deep Reactive Ion Etching of Silicon", Proc. of SPIE, Vol.5342 (2004). 

  6. C. Hedlund, H.?O. Blom, and S. Berg, "Microloading effect in reactive ion etching", J. Vac. Sci. Technol A, 12 (4), pp. 1962-1965 (1994) 

  7. Y. Du, C. J. Choi, G. Zhang, S. Park, P. Yan, and K. Baik, "TaN-based EUV Mask Absorber Etch Study", Proc. of SPIE, Vol. 6283, 62833D (2006) 

  8. T. Abe, A. Fujii, S. Sasaki, H. Mohri, N. Hayashi, T. Shoki, T. Yamada, O. Nozawa, R. Ohkubo and M. Ushida, "Process development for EUV mask production", Proc. of SPIE, Vol. 6349, 63493G (2006) 

  9. U. Dersch, A. Korn, C. Engelmann, C. Georg Frase, W. Hassler-Grohne, H. Bosse, F. Letzkus, J. Butschke, "Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM", Proc. of SPIE, Vol. 5752 (2005) 

  10. E. van Setten, C. W. Man, R. Murillo, S. Lok, K. van Ingen Schenau, K. Feenstra, C. Wagner, "Impact of mask absorber on EUV imaging performance", Proc. of SPIE, Vol. 7545, 754503 (2010) 

  11. M. Sugawara and I. Nishiyama, "Impact of slanted absorber side wall on printability in EUV lithography", Proc. of SPIE, Vol. 5992, 59923V (2005) 

  12. E. Gallagher, G. McIntyre, T. Wallow, S. Raghunathan, O. Wood, L. Kindt, J. Whang, M. Barrett, "EUV masks under exposure: practical considerations", Proc. of SPIE, Vol. 7969, 79690W (2011) 

  13. S. Lee, I. Lee, J. G. Doh, J. U. Lee, S. Hong, J. Ahn, "Improved imaging properties of thin attenuated phase shift masks for extreme ultraviolet lithography", J. Vac. Sci Technol. B Vol. 31, 021606, pp.1-5 (2013). 

  14. S. Hong, S. Jeong, J. U. Lee, S. M. Lee, and J. Ahn., "Stochastic Patterning Simulation Using Attenuated Phase-Shift Mask for Extreme Ultraviolet Lithography", Appl. Phys. Express Vol. 6, 096501, pp.1-4 (2013). 

  15. J. Y. Jang, J. S. Kim, S. Hong, H. Cho, and J. Ahn., "Evaluation of Imaging Performance of Phase Shift Mask Depending on Reflectivity with Sub-resolution Assist Feature in EUV Lithography", Journal of the Semiconductor & Display Technology, Vol. 14 (3), pp.1-5 (2015). 

저자의 다른 논문 :

LOADING...

관련 콘텐츠

이 논문과 함께 이용한 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로