$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

초록이 없습니다.

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 우선 DRAM 접근 지연 시간을 잘 이해하기 위해 DRAM의 구조와 동작에 대해 살펴볼 것이다.
  • 위해 제안되었다. 이 기술은 open-page policy를 사용하는 메모리 시스템에 동시에 접근하는 애플리케이션 간의 메모리 접근 간섭을 최소화하는 것을 목표로 하고 있다. 이를 위하여 메모리 access를 channel과 bank에 걸쳐 sub-row granularity level로 건너뛰도록 한다.
  • 때문이다. 이 논문에서는 시스템 성능에 영향을 줄 수 있는 DRAM 접근 지연 시간을 보상하기 위한 다양한 연구들에 대해 소개하고 각각의 기술에 대한 가능성 및 한계 그리고 앞으로의 연구 방향에 관해 고찰하려고 한다.
본문요약 정보가 도움이 되었나요?

참고문헌 (37)

  1. John L. Henning. "SPEC CPU2006 Benchmark Descriptions" ACM SIGARCH Computer Architecture News, vol.34, no.4, pp.1-17, September 2005. 

  2. JEDEC, DDR4 SDRAM Specification, 2012. 

  3. T. Vogelsang, "Understanding the Energy Consumption of Dynamic Random Access Memories," in MICRO, Dec 2010. 

  4. M. Hashimoto et al., "An Embedded DRAM Module using a Dual Sense Amplifier Architecture in a Logic Process," in ISSCC, Feb 1997. 

  5. Micron Technology Inc., RLDRAM3 Datasheet, 2011. 

  6. P. N. Glaskowsky, "MoSys Explains 1T-SRAM Technology," Microprocessor Report, Sep. 1999. 

  7. Y. Sato et al., "Fast Cycle RAM (FCRAM); a 20-ns Random Row Access, Pipelined Operating DRAM," in VLSI, Jun 1998 

  8. Y. H. Son et al., "Reducing Memory Access Latency with Asymmetric DRAM Bank Organizations," ISCA, 2013. 

  9. Y. H. Son et al., "SALAD: Achieving Symmetric Access Latency with Asymmetric DRAM Architecture," CAL, 2016. 

  10. B. Keeth et al., DRAM Circuit Design, 2nd ed. IEEE, 2008. 

  11. A. N. Udipi et al., "Rethinking DRAM Design and Organization for Energy-constrained Multi-cores," ISCA, Jun 2010. 

  12. E. Cooper-Balis et al., "Fine-Grained Activation for Power Reduction in DRAM," IEEE Micro, vol. 30, no 3, 2010. 

  13. Zhang, Tao, et al. "Half-DRAM: a High-bandwidth and Low-power DRAM Architecture from the Rethinking of Fine-grained Activation." ISCA, 2014. 

  14. Y. Kim et al., "A Case for Exploiting Subarray-Level Parallelism (SALP) in DRAM," ISCA, Jun 2012. 

  15. Y. H. Son et al. "Microbank: architecting through-silicon interposer-based main memory systems." SC, 2014. 

  16. J. Ahn et al., "Improving System Energy Efficiency with Memory Rank Subsetting," ACM TACO, vol. 9, no. 1, 2012. 

  17. H. Zheng et al., "Mini-Rank Adaptive DRAM Architecture for Improving Memory Power Efficiency," in MICRO, Nov 2008. 

  18. D. H. Yoon et al., "BOOM: Enabling Mobile Memory Based Low-Power Server DIMMs," in ISCA, Jun 2012. 

  19. D. H. Yoon et al., "Virtualized ECC: Flexible Reliability in Main Memory," IEEE Micro, vol. 31, no. 1, 2011. 

  20. B. Ganesh et al., "Fully-Buffered DIMM Memory Architectures: Understanding Mechanisms, Overheads and Scaling," in HPCA, Feb 2007. 

  21. Z. Zhang et al., "Cached DRAM for ILP Processor Memory Access Latency Reduction," IEEE Micro, vol. 21, no. 4, 2001. 

  22. "Virtual Channel DRAM. Elpida Memory, Inc." http://www.elpida.com/en/products/eollvcdram.html. 

  23. D. Lee et al., "Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture," in HPCA, Feb 2013. 

  24. S. Rixner et al., "Memory Access Scheduling," in ISCA, Jun 2000. 

  25. O. Mutlu et al., "Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems," in ISCA, Jun 2008. 

  26. Y. Kim et al., "ATLAS: A Scalable and High-Performance Scheduling Algorithm for Multiple Memory Controllers." in HPCA, 2010. 

  27. Y. Kim et al., "Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior," in MICRO, Dec 2010. 

  28. E. Ipek et al., "Self-Optimizing Memory Controllers: A Reinforcement Learning Approach," in ISCA, Jun 2008. 

  29. D. Kaseridis et al., "Minimalist Open-page: a DRAM Page-mode Scheduling Policy for the Many-core Era," in MICRO, Dec 2011. 

  30. J. L. Henning, "SPEC CPU2006 Memory Footprint," Computer Architecture News, vol. 35, no. 1, 2007. 

  31. N. Madan et al., "Optimizing Communication and Capacity in a 3D Stacked Reconfigurable Cache Hierarchy," in HPCA, Feb 2009. 

  32. G. H. Loh et al., "Efficiently Enabling Conventional Block Sizes for Very Large Die-stacked DRAM Caches," in MICRO, Dec 2011. 

  33. J.-S. K.im et al., "A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4x 128 I/Os using TSV-based stacking," in ISSCC, Feb 2011. 

  34. J. T. Pawlowski, "Hybrid Memory Cube," in Hot Chips, Aug 2011. 

  35. A. N. Udipi et al., "Combining Memory and a Controller with Photonics through 3D-stacking to Enable Scalable and Energy-efficient Systems," in ISCA, Jun 2011. 

  36. G. H. Loh, "A Register-file Approach for Row Buffer Caches in Die-stacked DRAMs," in MICRO, Dec 2011. 

  37. Young Hoon Son et al., "Row-buffer decoupling: a case for low-latency DRAM microarchitecture." ISCA, 2014. 

저자의 다른 논문 :

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로