$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

3 나노미터와 미래공정을 위한 상호보완 FET 표준셀의 설계와 기생성분에 관한 연구
Design Aspects and Parasitic Effects on Complementary FETs (CFETs) for 3nm Standard Cells and Beyond 원문보기

전기전자학회논문지 = Journal of IKEEE, v.24 no.3, 2020년, pp.845 - 852  

송대건 (School of Electronics Engineering, Kyungpook National University)

초록
AI-Helper 아이콘AI-Helper

3 나노미터 아래의 미래공정에서는 작은 면적의 표준셀(Standard Cell)을 구현하는 데에 많은 기술적인 개선을 요구한다. 따라서 어떠한 기술을 통해 얼마나 작은 면적의 표준셀을 구현할 수 있는지, 그리고 그 영향이 어떠한지 알아보는 것은 매우 중요하다. 본 논문에서는 3 나노미터와 이하의 미래공정에서 표준셀 설계를 위해 묻힌 전력망(Buried Power Rail, BPR)과 상호보완 FET(Complementary FET, CFET)이 면적 감소에 얼마나 기여하는지 살펴보며 그 영향을 기생 캐패시턴스 관점에서 분석한다. 본 논문을 통해 상호보완 FET은 4T 이하의 표준셀을 구현할 수 있는 기술이지만, Z-축으로 증가하는 높이만큼 상당한(+18.0% 이상) 기생 Cap의 영향을 받는다는 점을 밝힌다.

Abstract AI-Helper 아이콘AI-Helper

Developing standard cells for 3nm and beyond requires significant advances in the device and interconnect technology. Thus, it is very important to quantify the impact of the new technology in various aspects. In this paper, we perform a through analysis on the impact of Buried Power Rail (BPR) and ...

주제어

표/그림 (9)

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • [8]에 따르면 대표적인 파운더리인 TSMC에서 이후 3 나노미터 공정을 FinFET으로 설계한다고 발표하였다. [7-8]의 내용으로 말미암아 본 연구에서는 FinFET을 기반으로 한 CFET의 이해가 중요하다고 판단하여 본 연구를 진행한다.
  • 뛰어난 성능을 갖는 트랜지스터라도 큰 기생성분의 영향을 받게 되어있다면 회로의 관점에서 좋지 않은 성능을 갖게 될 수 있다. 기존의 연구[7]에서는 기생성분 중 저항이 CFET의 성능에 큰 영향을 줄 것이라고 발표하였는데, 본 연구에서는 CFET의 기생 Cap이 상당함을 발표하며, 이를 줄이기 위해 어떤 대안을 찾을 수 있는지 알아본다. 여러 트랜지스터 구조들 중, 본 논문에서는 FinFET과 FinFET을 이용한 CFET 구조에 집중한다.
  • 현재 사용되는 기술들로는 track 수를 줄이는 데에 한계가 왔다고 전망하며, 이로 인해 새 트랜지스터와 기술들을 차용하게 되면 상당한 구조상의 변화와 기생성분의 증감이 일어나리라 예측한다. 따라서 본 논문에서는 산업계에서 예측하는 만큼의 track 개수를 줄이기 위해 어떤 기술들이 필요한지 살펴보며, 특히 미래공정의 합리적인 대안으로 평가받는 BPR과 CFET이 track 개수 감소에 어떻게 기여하며 그 영향이 어떻게 되는지 자세히 분석한다.
  • 본 논문에서는 3 나노미터와 이하의 미래공정에서 표준셀 설계를 위한 track과 기생성분간의 관계를 밝히고, 이를 위한 상호보완 FET(CFET)과 묻힌 전력망(BPR)이 어떻게 면적과 Track 개선 효과가 있는지를 분석하였다. 본 논문을 통하여 다음의 중요한 내용들을 밝힌다.
  • 본 논문에서는 track 개수가 상당히 줄어드는 3 나노미터와 그 이후의 미래공정에서 트랜지스터와 표준셀 설계가 어떻게 이루어져야 하는지에 대해 track과 기생성분의 관점에서 연구한다. 현재 사용되는 기술들로는 track 수를 줄이는 데에 한계가 왔다고 전망하며, 이로 인해 새 트랜지스터와 기술들을 차용하게 되면 상당한 구조상의 변화와 기생성분의 증감이 일어나리라 예측한다.
  • 표 3은 [7]에서 제안한 파라미터(표 1)들을 바탕으로 5T FinFET과 4T CFET의 기생 Cap을 비교한다. 본 연구에서는 Nanosheet이 아닌 fin을 적층시킨 CFET을 비교하며, 이는 서론에서 언급한 바와 같이 3나노미터 공정까지는 FinFET의 지속 가능성을 제시하는 참조문헌들에 근거한다[7, 8].
  • [15]에서는 세 가지 전력망 구조를 제안하여 해당하는 구조를 사용하였을 때에 VLSI 관점에서 IR-drop이 얼마나 발생하는지를 분석하였다. 본 연구에서는 [15]에서 제안하는 전력망 구조들이 합리적이라 판단하고, 이와 같은 전력망 구조를 형성할 때에 BPR 구조가 track 감소에 기여하기 위해 어떤 변화가 수반되어야 하는지를 알아본다. [15]에서 제안하는 3가지 전력 공급방식은 다음과 같다(그림 3).
  • 3 나노미터 표준셀 설계를 위해 핵심이 되는 파라미터들은 표 1에 정리한다. 본 연구에서는 표준셀에서의 영향을 살펴보기 위해서 INV를 설계하여 비교하였다. 물리적 구조와 기생성분의 분석을 위해 GDSII layout을 설계하였으며, 이를 Synopsys Raphael을 통해 Cap을 추출하였다.

가설 설정

  • 물리적 구조와 기생성분의 분석을 위해 GDSII layout을 설계하였으며, 이를 Synopsys Raphael을 통해 Cap을 추출하였다. 본 연구에서 표준셀 내에는 1개의 fin이 트랜지스터를 형성한다고 가정한다[7].
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
표준셀이란? 표준셀(Standard Cell)은 디지털 반도체 칩을 설계하는 데에 있어서 핵심적인 역할을 하는 논리회로이다. 표준셀은 논리 연산을 하는 다양한 게이트(e.
소형화의 흐름을 유지하기 위해 MBCFET을 제외한 어떤 기술들이 산업계에서 나오고 있는가? 삼성에서는 MBCFET을 구현하여 미래공정에서 안정적인 소자를 구현하기 위한 시도를 발표하였다[2]. 이 밖에 Nanowire FET[3], Nanosheet FET[4], Forksheet[5]과 Complementary FET[6], Buried Power Rail[12] (BPR) 등, 발표되는 신기술들은 산업계에서 소형화를 지속하기 위해 이루어지는 노력을 다양하게 보여주고 있다.
표준셀의 track 수가 줄어들게 되면 어떻게 되는가? 표준셀의 track 수가 줄어들게 되면, 이에 따라 전반적인 셀의 설계는 더 난해해지게 된다. 예를 들면, 7 나노미터 FinFET 공정의 경우에 한 표준셀은 각 트랜지스터 내에 2 fin씩 사용할 수 있다. 그러나, 5 나노미터 공정에서는 track 감소 및 소자 간격의 감소로 인해 1 fin만 사용할 수 있게 된다. 또한, 전반적으로 사용하는 track 개수가 줄어들게 됨에 따라 생기는 신호선 연결의 문제 등은 소형화에 따라 피할 수 없는 상황이다. 따라서, imec에서 제안하는 상호보완 FET(Complementary FET, 이하 CFET)은 지속하는 소형화의 흐름을 유지할 수 있는 합리적인 대안으로 평가받는다[7].
질의응답 정보가 도움이 되었나요?

참고문헌 (15)

  1. Intel's Revolutionary 22 nm Transistor Technology, Intel Newsroom, 2011. 

  2. Eun-Jung Yoon et al., "Sub 30 nm multibridge-channel MOSFET (MBCFET) with metal gate electrode for ultra high performance application," IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004. DOI: 10.1109/IEDM.2004.1419244 

  3. Y. Jiang et al., "Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual $\Phi$ m and VT tune-ability," 2008 IEEE International Electron Devices Meeting (IEDM), 2008. DOI: 10.1109/IEDM.2008.4796836 

  4. D. Jang et al., "Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node," IEEE Transactions on Electron Devices, vol.64, no.6, pp.2707-2713, 2017. DOI: 10.1109/TED.2017.2695455 

  5. P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm," 2019 IEEE International Electron Devices Meeting (IEDM), 2019. DOI: 10.1109/IEDM19573.2019.8993635 

  6. J. Ryckaert et al., "The Complementary FET (CFET) for CMOS scaling beyond N3," Symposium on VLSI Technology, 2018. DOI: 10.1109/VLSIT.2018.8510618 

  7. P. Schuddinck et al., "Device-, Circuit- & Blocklevel evaluation of CFET in a 4 track library," Symposium on VLSI Technology, 2019. DOI: 10.23919/VLSIT.2019.8776513 

  8. Metrology Challenges for Gate-All-Around 2020. https://semiengineering.com/metrology-challenges-for-gate-all-around/ 

  9. S. Sinha et al., "Circuit design perspectives for Ge FinFET at 10nm and beyond," IEEE International Symposium on Quality Electronic Design (ISQED), 2015. DOI: 10.1109/ISQED.2015.7085398 

  10. Y. Kikuchi et al., "Electrical properties and TDDB performance of Cu interconnects using ALD Ta(Al)N barrier and Ru liner for 7nm node and beyond," IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC), 2016. DOI: 10.1109/IITC-AMC.2016.7507696 

  11. C. Wu et al., "Conduction and Breakdown Mechanisms in Low-k Spacer and Nitride Spacer Dielectric Stacks in Middle of Line Interconnects," IEEE International Reliability Physics Symposium (IRPS), 2020. DOI: 10.1109/IRPS45951.2020.9128328 

  12. M. O. Hossen et al., "Power Delivery Network (PDN) Modeling for Backside-PDN Configurations With Buried Power Rails and ${\mu}$ TSVs," IEEE Transactions on Electron Devices, vol.67, no.1, pp.11-17, 2020. DOI: 10.1109/TED.2019.2954301 

  13. J. Ryckaert et al., "Extending the roadmap beyond 3nm through system scaling boosters: A case study on Buried Power Rail and Backside Power Delivery," Electron Devices Technology and Manufacturing Conference (EDTM), 2019. DOI: 10.1109/EDTM.2019.8731234 

  14. A. Gupta et al., "High-Aspect-Ratio Ruthenium Lines for Buried Power Rail," IEEE International Interconnect Technology Conference (IITC), 2018. DOI: 10.1109/IITC.2018.8430415 

  15. D. Prasad et al., "Buried Power Rails and Back-side Power Grids: Arm(R) CPU Power Delivery Network Design Beyond 5nm," IEEE International Electron Devices Meeting (IEDM), 2019. DOI: 10.1109/IEDM19573.2019.8993617 

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로