$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Complementary FET로 열어가는 반도체 미래 기술
Complementary FET-The Future of the Semiconductor Transistor 원문보기

전자통신동향분석 = Electronics and telecommunications trends, v.38 no.6, 2023년, pp.52 - 61  

김상훈 (차세대반도체소자연구실) ,  이성현 (차세대반도체소자연구실) ,  이왕주 (차세대반도체소자연구실) ,  박정우 (차세대반도체소자연구실) ,  서동우 (소재부품연구본부)

Abstract AI-Helper 아이콘AI-Helper

With semiconductor scaling approaching the physical limits, devices including CMOS (complementary metal-oxide-semiconductor) components have managed to overcome yet are currently struggling with several technical issues like short-channel effects. Evolving from the process node of 22 nm with FinFET ...

주제어

표/그림 (7)

참고문헌 (51)

  1. G.E. Moore, "Cramming more components onto?integrated circuits," Electronics, vol. 38, no. 8, 1965. 

  2. https://semiconductor.samsung.com/ 

  3. https://www.techinsights.com/ 

  4. https://irds.ieee.org/editions/2022 

  5. H. Jagannathan et al., "Vertical-transport nanosheet?technology for CMOS scaling beyond lateral-transport?devices," in Proc. IEDM, (San Francisco, CA, USA), Dec.?2021, pp. 26.1.1-26.1.4. 

  6. G. Tsutsui et al., "Hardware based performance?assessment of vertical-transport nanosheet technology," in Proc. IEDM, (San Francisco, CA, USA), Dec.?2022, pp. 819-822. 

  7. P. Weckx et al., "Novel forksheet device architecture?as ultimate logic scaling device towards 2nm," in?Proc. IEDM, (San Francisco, CA, USA), Dec. 2019, p.?8993635. 

  8. H. Mertens et al., "Forksheet FETs with bottom?dielectric isolation, self-aligned gate cut, and isolation?between adjacent source-drain structures," in Proc.?IEDM, (San Francisco, CA, USA), Dec. 2022, pp. 555-558. 

  9. C.-Y. Yang et al., "First demonstration of heterogeneous?L-shaped field effect transistor(LFET) for angstrom?technology nodes,"in Proc. IEDM, (San Francisco, CA,?USA), Dec. 2022, pp. 475-478. 

  10. J. Ryckaert et al., "The complementary FET(CFET) for?CMOS scaling beyond N3," in Proc. IEEE Symp. VLSI?Tech., (Honolulu, HI, USA), June 2018, pp. 141-142. 

  11. B. Vincent et al., "A benchmark study of complementary-field effect transistor(CFET) process?integration options done by virtual fabrication," IEEE J.?Electron Devices Soc., vol. 8, 2020, pp. 668-673. 

  12. L. Jiang et al., "Complementary FET for advanced?technology nodes: Where does it stand?," in Proc.?SISPAD, (Dallas, TX, USA), Sept. 2021, pp. 11-14. 

  13. L. Jiang et al., "Complementary FET device and circuit?level evaluation using fin-based and sheet-based?configurations targeting 3nm node and beyond," in Proc.?SISPAD, (Kobe, Japan), Sept. 2020, pp. 323-326. 

  14. S.-G. Jung et al., "Performance analysis on?complementary FET (CFET) relative to standard CMOS?with nanosheet FET," IEEE J. Electron Devices Soc.,?vol. 10, 2022, pp. 78-82. 

  15. S. Lee et al., "Design of JL-CFET(junctionless?complementary field effect transistor)-based inverter?for low power applications," Semicond. Sci. Technol.,?vol. 37, no. 3, 2022, pp. 1-8. 

  16. B. Sun et al., "Analytical model of CFET parasitic?capacitance for advanced technology nodes," IEEE?Trans. Electron. Devices, vol. 69, no. 3, 2022, pp. 936-941. 

  17. P. Schuddinck et al., "PPAC of sheet-based CFET?configurations for 4 track design with 16nm metal?pitch," in Proc. VLSI Technol. Circuits, (Honolulu, HI,?USA), June 2022, pp. 365-366. 

  18. J. Li et al., "Performance comparison of vertically?stacked nanosheet CFET and standard CMOS without?and with parasitic channels," Microelectron. Eng., vol.?279, 2023, pp. 1-6. 

  19. L. Brunet et al., "First demonstration of a CMOS over?CMOS 3D VLSI CoolCubeTM integration on 300mm?wafers," in Proc. IEEE Symp. VLSI Tech., (Honolulu, HI,?USA), June 2016, p. 7573428. 

  20. A. Vandooren et al., "3-D sequential stacked planar?devices featuring low-temperature replacement metal?gate junctionless top devices with improved reliability,"?IEEE Trans. Electron. Devices, vol. 65, no. 11, 2018, pp.?5165-5171. 

  21. W. Rachmady et al., "300mm heterogeneous 3D?integration of record performance layer transfer?germanium PMOS with silicon NMOS for low power?high performance logic applications," in Proc. IEDM,?(San Francisco, CA, USA), Dec. 2019. 

  22. S.K. Kwang et al., "Heterogeneous 3D Sequential?CFET with Ge(110) Nanosheet p-FET on Si(100) bulk?n-FET by Direct Wafer Bonding," in Proc. IEDM, (San?Francisco, CA, USA), Dec. 2022, pp. 471-474. 

  23. A. Vandooren et al., "Demonstration of 3D sequential?FD-SOI on CMOS FinFET stacking featuring low?temperature Si layer transfer and top tier device?fabrication with tier interconnections," in Proc. VLSI?Tech. Circuits, (Honolulu, HI, USA), June 2022, pp.?330-331. 

  24. C.-Y. Huang et al., "3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued?Moore's Law Scaling," in Proc. IEDM, (San Francisco,?CA, USA), Dec. 2020, pp. 20.6.1-20.6.4. 

  25. S. Subramanian et al., "First monolithic integration of 3d?complementary fet (cfet) on 300mm wafers," in Proc.?IEEE Symp. VLSI Tech., (Honolulu, HI, USA), June 2020,?article no. 9265073. 

  26. Chien-Te Tu et al., "First demonstration of monolithic 3D self-aligned GeSi channel and common gate?complementary FETs by CVD epitaxy using multiple P/N?junction isolation," in Proc. IEDM, (San Francisco, CA,?USA), Dec. 2022, pp. 20.3.1-20.3.4. 

  27. H. Mertens et al., "Nanosheet-based complementary?field-effect transistors(CFETs) at 48nm gate pitch, and?middle dielectric isolation to enable CFET inner spacer?formation and multi-vt patterning," in Proc. VLSI Tech.?Circuits, (Kyoto, Japan), June 2023, pp. T1-3. 

  28. S.-J. Choi et al., "Dislocation sink annihilating threading?dislocations in strain-relaxed Si1-xGex layer,"?Nanotechnology, vol. 31, no. 12, 2020, p. 12LT01. 

  29. Kookjin Lee et al., "Defect spectroscopy of sidewall?interfaces in gate-all-around silicon nanosheet FET,"?Nanotechnology, vol. 32, no. 16, 2021, p. 165202. 

  30. Yi-Chun Liu et al., "Highly stacked GeSi nanosheets?and nanowires by low-temperature epitaxy and wet?etching," in Proc. IEDM, (San Francisco, CA, USA), Dec.?2021, pp. 6599-6604. 

  31. C.-L. Chu et al., "Ge/Si multilayer epitaxy and removal?of dislocations from Ge-nanosheet-channel MOSFETs,"?Scientific Reports, vol. 12, 2022. 

  32. J. Bogdanowicz et al., "Spectroscopy: A new route?towards critical-dimension metrology of the cavity?etch of nanosheet transistors," in Proc. SPIE Adv.?Lithography, (Online Only), Feb. 2021, p. 116111Q. 

  33. Y. Zhao, et al., "Formation mechanism of a rounded?SiGe-Etch-Front in an isotropic dry SiGe etch pocess?for Gate-All-Around(GAA)-FETs," in Proc. EDTM,?(Chengdu, China), Apr. 2021. 

  34. G. Santoro et al., "Recess metrology challenges for 3D?device architectures in advanced technology nodes," in?Proc. SPIE Adv. Lithography + Patterning, (San Jose,?CA, USA), 2022, article no. 120530L. 

  35. E. Liu et al., "Study of selective dry etching Effects of?15-Cycle Si0.7Ge0.3/Si multilayer structure in Gate-All-Around transistor process," Nanomaterials, vol. 13, no.?14, 2023. 

  36. S. Mukesh and J. Zhang, "A Review of the Gate-All-Around nanosheet FET process opportunities,"?Electronics, vol. 11, no. 21, 2022, p. 3589. 

  37. H. Arimura et al., "Molybdenum nitride as a scalable and?thermally stable pWFM for CFET," in Proc. VLSI Tech.?Circuits, (Kyoto, Japan), June 2023, pp. 1-2. 

  38. C. Zhao and J. Xiang, "Atomic layer deposition (ALD) of?metal gates for CMOS," Appl. Sci., vol. 9, no. 11, 2019,?article no. 2388. 

  39. H. Mertens et al., "Vertically stacked gate-all-around?Si nanowire CMOS transistors with dual work function?metal gates," in Proc. IEDM, (San Francisco, CA, USA),?Dec. 2017, pp. 19.7.1-19.7.4. 

  40. H. Mertens et al., "Nanosheet-based Complementary?Field-Effect Transistors(CFETs) at 48nm Gate Pitch, and?middle dielectric isolation to enable CFET inner spacer?formation and Multi-Vt patterning," in Proc. VLSI Tech.?Circuits, (Kyoto, Japan), June 2023, pp. 1-2. 

  41. J. Zhang et al., "High-k metal gate fundamental learning?and multi-Vt options for stacked nanosheet gate-all-around transistor," in Proc. IEDM, (San Francisco, CA,?USA), Dec. 2017, pp. 22.1.1-22.1.4. 

  42. R. Bao et al., "Multiple-Vt Solutions in Nanosheet?Technology for High Performance and Low Power?Applications," in Proc. IEDM, (San Francisco, CA, USA),?Dec. 2019, pp. 11.2.1-11.2.4. 

  43. P.H. Vardhan, S. Ganguly, and U. Ganguly, "Threshold?voltage variability in nanosheet GAA transistors," IEEE?Trans. Electron. Devices, vol. 66, no. 10, 2019, pp.?4433-4438. 

  44. W. Hafez et al., "Intel PowerVia technology: Backside?power delivery for high density and high-performance?computing," in Proc. VLSI Tech. Circuits, (Kyoto, Japan),?June 2023, pp. T6-1. 

  45. S. Kim et al., "Structural reliability and performance?analysis of backside PDN," in Proc. VLSI Tech. Circuits,?(Kyoto, Japan), June 2023, pp. TFS2-5. 

  46. S. Yang et al., "PPA and scaling potential of backside?power options in N2 and A14 nanosheet technology,"?in Proc. VLSI Tech. Circuits, (Kyoto, Japan), June 2023,?pp. T4-2. 

  47. A. Veloso et al., "Enabling logic with backside?connectivity via n-TSVs and its potential as a scaling?booster," in Proc. Symp. VLSI Tech., (Kyoto, Japan),?June 2021, pp. TFS2-6. 

  48. R. Chen et al., "Design and optimization of SRAM macro?and logic using backside interconnects at 2nm node," in?Proc. IEDM, (San Francisco, CA, USA), Dec. 2021, pp.?498-501. 

  49. H.-H. Liu et al., "DTCO of sequential and monolithic?CFET SRAM," Proc. of SPIE, vol. 2023, pp. 124950Z-1. 

  50. H.-H. Liu et al., "CFET SRAM DTCO, interconnect?guideline, and benchmark for CMOS scaling," IEEE?Trans. Electron. Devices, vol. 70, no. 3, 2023, pp. 883-890. 

  51. E. Park and T. Song, "Complementary FET(CFET)?standard cell design for low parasitics and its impact?on VLSI prediction at 3-nm process," IEEE Trans. Very?Large Scale Integr. VLSI Syst., vol. 31, no. 2, 2023, pp.?177-187. 

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로