$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

[해외논문] Lithographie EUV
EUV lithography

Comptes rendus. Physique, v.7 no.8, 2006년, pp.875 - 886  

Kemp, Kevin (SEMATECH, 2706, Montopolis Drive, Austin, TX 78741, USA) ,  Wurm, Stefan (Corresponding author. Qimonda assignee to SEMATECH, 2706 Montopolis Drive, Austin, TX 78741, USA.)

Abstract AI-Helper 아이콘AI-Helper

AbstractExtreme ultraviolet lithography (EUVL) technology and infrastructure development has made excellent progress over the past several years, and tool suppliers are delivering alpha tools to customers. However, requirements in source, mask, optics, and resist are very challenging, and significan...

Abstract

RésuméLe développement de la technologie et de l'infrastructure relatives à la lithographie en ultraviolet extrême (EUVL) a fait d'excellents progrès ces dernières années et les fournisseurs d'équipements livrent des machines alpha à des clients. Cependant les exigences sur la source, le masque, l'optique et la résine sont des défis difficiles et des efforts significatifs dans les développements sont encore nécessaires pour permettre des performances au niveau d'équipements bêta ou de production.Parmi les quelques avancées importantes de ces dernières années on compte la puissance de sortie accrue de la source, le développement et l'intégration de l'équipement et du système optique, ainsi que la réduction des défauts des blancs de masque. A titre d'exemple la puissance de la source a été augmentée à des niveaux approchant les spécifications, mais opérer la source de manière fiable à ces niveaux de puissance n'a pas encore été complètement démontré. Des efforts significatifs sont aussi nécessaires pour satisfaire les exigences sur les photo-résines EUV en termes de résolution, de rugosité de trait et de photosensibilité.Le coût de possession et la capacité à étendre la technique à des nœuds futurs sont des facteurs clés pour déterminer les perspectives d'insertion de l'EUVL en production. Puisque le débit de plaques est un facteur critique dans les coûts, la puissance de source, la sensibilité de la résine et la conception du système ont besoin d'être tous pris soigneusement en considération. Cependant, si les défis techniques et commerciaux peuvent être relevés, l'EUVL sera alors le choix technologique probable pour la fabrication de semiconducteurs pour les nœuds aux demi pas de 32, 22, 16 et 11 nm. Pour citer cet article : K. Kemp, S. Wurm, C. R. Physique 7 (2006).

주제어

참고문헌 (50)

  1. J. Vac. Sci. Technol. B Hawryluk, A.M. 6 2162 1988 10.1116/1.584107 [1] Hawryluk, A.M.; Seppala, L.G. J. Vac. Sci. Technol. B, 6 (1988), p. 2162 

  2. Microelectron. Eng. Silfvast, W.T. 8 3 1988 10.1016/0167-9317(88)90003-2 [2] Silfvast, W.T.; Wood, O.R. II Microelectron. Eng., 8 (1988), p. 3 

  3. J. Vac. Sci. Technol. B Kinoshita, H. 7 1648 1989 10.1116/1.584507 [3] Kinoshita, H. et al. J. Vac. Sci. Technol. B, 7 (1989), p. 1648 

  4. J. Vac. Sci. Technol. B Bjorkholm, J.E. 8 1509 1990 10.1116/1.585106 [4] Bjorkholm, J.E. et al. J. Vac. Sci. Technol. B, 8 (1990), p. 1509 

  5. Opt. Lett. Tichenor, D.A. 16 1557 1991 10.1364/OL.16.001557 [5] Tichenor, D.A. et al. Opt. Lett., 16 (1991), p. 1557 

  6. [6] Nguyen, K.B. et al., 1996 OSA TOPS on Extreme Ultraviolet Lithography, vol. 4, Optical Society of America, 1996 

  7. [7] S. Wurm, C.W. Gwyn, EUV lithography, in: K. Suzuki (Ed.), Microlithography, second ed., CRC Press/Taylor & Francis Informa Group, Boca Raton, FL, 2007 (Chapter 8), in press 

  8. Proc. SPIE Tichenor, D.A. 4688 19 2001 10.1117/12.436665 [8] Tichenor, D.A. et al. Proc. SPIE, 4688 (2001), p. 19 

  9. [9] http://www.sematech.org (SEMATECH Inc., USA) 

  10. Proc. SPIE Ma, A. 5751 168 2005 10.1117/12.599936 [10] Ma, A. et al. Proc. SPIE, 5751 (2005), p. 168 

  11. Proc. SPIE Lowack, K. 6151 2006 [11] Lowack, K. et al. Proc. SPIE, 6151 (2006) (61512U-1) 

  12. [12] http://www.medeaplus.org Microelectronics Development for European Applications+ (MEDEA+), France 

  13. [13] http://www.imec.be Interuniversity MicroElectronics Center (IMEC), Belgium 

  14. [14] http://www.aset.or.jp Association of Super-Advanced Electronics Technologies (ASET), Japan 

  15. [15] http://www.euva.or.jp Extreme UltraViolet Lithography System Development Association (EUVA), Japan 

  16. [16] http://www.selete.co.jp/ Semiconductor Leading Edge Technologies (SELETE) 

  17. [17] http://public.itrs.net/ (International Technology Roadmap for Semiconductors) 

  18. Proc. SPIE Borodovsky, Y. 6153 2006 [18] Borodovsky, Y. Proc. SPIE, 6153 (2006) (615301-1) 

  19. Masks for extreme ultraviolet lithography Yan, P.-Y. 2005 [19] Yan, P.-Y. Masks for extreme ultraviolet lithography (Rizvi, S., ed.), Handbook of Photomask Manufacturing Technology, CRC Press/Taylor & Francis Informa Group, Boca Raton, FL, 2005 (Chapter 11) 

  20. 10.1117/3.613774 [20] (Bakshi, V., ed.), EUV Sources for Lithography, vol. PM149, SPIE Press, Bellingham, WA, 2006 

  21. [21] http://www.sematech.org/meetings/archives.htm 4th International Extreme Ultra-Violet Lithography (EUVL) Symposium, San Diego, CA, November 7-9, 2005. The symposium materials can be found at 

  22. Proc. SPIE Goldberg, K.A. 5900 114 2005 [22] Goldberg, K.A. et al. Proc. SPIE, 5900 (2005), p. 114 

  23. Proc. SPIE Rocca, J.J. 5919 1 2005 [23] Rocca, J.J. et al. Proc. SPIE, 5919 (2005), p. 1 

  24. [24] N. Harned, et al., Progress on the realization of EUV lithography, in Ref. [21] 

  25. [25] S. Bajt, et al., Oxidation resistance and microstructure of Ru-capped extreme ultraviolet lithography multilayers, Journal of Microlithography, Microfabrication, and Microsystems, in press 

  26. [26] T.E. Madey, et al., Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography, Applied Surface Science, in press 

  27. Soft X-Rays and Extreme Ultraviolet Radiation Attwood, D. 1999 10.1017/CBO9781139164429 [27] Attwood, D. Soft X-Rays and Extreme Ultraviolet Radiation, Cambridge Univ. Press, Cambridge, UK, 1999 

  28. [28] SEMATECH EUV Source Workshops 2000-2005. All workshop material can be found at http://www.sematech.org/meetings/archives.htm 

  29. Proc. SPIE Pankert, J. 6151 2006 [29] Pankert, J. et al. Proc. SPIE, 6151 (2006) (61510Q-1) 

  30. Proc. SPIE Stamm, U. 6151 2006 [30] Stamm, U. et al. Proc. SPIE, 6151 (2006) (61510O-1) 

  31. [31] SEMI P37-1102, Specification for Extreme Ultraviolet Lithography Mask Substrates, Semiconductor Equipment and Materials International, San Jose, CA, 2002 

  32. [32] SEMI P38-1103, Specification for Absorbing Film Stacks and Multilayers on Extreme Ultraviolet Lithography Mask Blanks, Semiconductor Equipment and Materials International, San Jose, CA, 2003 

  33. [33] http://www.sematech.org/meetings/archives.htm (EUV Mask Carrier Standards Workshop, San Diego, CA, November 10, 2005. The workshop materials can be found at) 

  34. 10.1117/12.692629 [34] U. Mickan, et al., The first full-field EUV mask ready for printing, in: 23rd European Mask and Lithography Conference, Dresden/Germany, 23-26 January 2006 

  35. Solid State Technol. Rastegar, A. 49 4 47 2006 Removing sub-50 nm particles during blank substrate cleaning [35] Rastegar, A. et al. Removing sub-50 nm particles during blank substrate cleaning, Solid State Technol., Volume 49 (2006) no. 4, p. 47 

  36. J. Vac. Sci. Technol. B Gullikson, E.M. 20 81 2002 10.1116/1.1428269 [36] Gullikson, E.M. et al. J. Vac. Sci. Technol. B, 20 (2002), p. 81 

  37. Proc. SPIE Stivers, A.R. 4889 408 2002 10.1117/12.468199 [37] Stivers, A.R. et al. Proc. SPIE, 4889 (2002), p. 408 

  38. Proc. SPIE Gullikson, E.M. 5374 791 2004 10.1117/12.558816 [38] Gullikson, E.M. et al. Proc. SPIE, 5374 (2004), p. 791 

  39. Proc. SPIE Shroff, Y.A. 6151 2006 [39] Shroff, Y.A. et al. Proc. SPIE, 6151 (2006) (615104-1) 

  40. [40] K. Orvek, et al., Concept demonstration of integrated particle defect control of EUVL masks, in Ref. [21] 

  41. [41] B. La Fontaine, T. Wallow, B. Philips, Results from AMD work on EUV resist development, private communication 

  42. [42] M. Leeson, et al., EUV resist: Sensitivity, resolution, and LWR targets, in Ref. [21] 

  43. [43] R. Gontin, EUV source requirements, in: SEMATECH EUV Source Workshop, Santa Clara, CA, March 3, 2002 

  44. [44] Hudyma, R.; Chandhok, M.; Shell, M. http://www.sematech.org/meetings/archives.htm (Resolution limits of EUV projection technology, in: 2006 SEMATECH Litho Forum, Vancouver, May 23, 2006. The SEMATECH Litho Forum materials can be found at) 

  45. Proc. SPIE Hudyma, R. 4832 137 2002 10.1117/12.486427 [45] Hudyma, R. Proc. SPIE, 4832 (2002), p. 137 

  46. Philos. Mag. Lord Rayleigh 8 261 1879 10.1080/14786447908639684 [46] Lord Rayleigh Philos. Mag., 8 (1879), p. 261 

  47. Principles of Lithography Levinson, H.J. 2001 [47] Levinson, H.J. Principles of Lithography, SPIE Press, Bellingham, WA, 2001 

  48. Resolution Enhancement Techniques Wong, A.K.-K. 2001 10.1117/3.401208 [48] Wong, A.K.-K. Resolution Enhancement Techniques, SPIE Press, Bellingham, WA, 2001 

  49. Proc. SPIE Gil, D. 6154 2006 [49] Gil, D. et al. Proc. SPIE, 6154 (2006) (615405-1) 

  50. [50] C. Noelscher, et al., Double line shrink lithography-patterning beyond optical limits, in: 31st International Conference on Micro- and Nano-Engineering, Vienna, Austria, 19-22 September 2005 

관련 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로