$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks

IEEE journal of solid-state circuits, v.54 no.1, 2019년, pp.217 - 230  

Biswas, Avishek (Kilby Labs, Texas Instruments Incorporated, Dallas, TX, USA) ,  Chandrakasan, Anantha P. (Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, MA, USA)

Abstract AI-Helper 아이콘AI-Helper

This paper presents an energy-efficient static random access memory (SRAM) with embedded dot-product computation capability, for binary-weight convolutional neural networks. A 10T bit-cell-based SRAM array is used to store the 1-b filter weights. The array implements dot-product as a weighted averag...

참고문헌 (24)

  1. Sze, Vivienne, Chen, Yu-Hsin, Yang, Tien-Ju, Emer, Joel S.. Efficient Processing of Deep Neural Networks: A Tutorial and Survey. Proceedings of the IEEE, vol.105, no.12, 2295-2329.

  2. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers Computing’s energy problem (and what we can do about it) horowitz 2014 10 

  3. IEEE ISSCC Dig Tech Papers Conv-RAM: An energy-efficient SRAM with embedded convolution computation for low-power CNN-based machine learning applications biswas 2018 488 

  4. Zhang, Jintao, Wang, Zhuo, Verma, Naveen. In-Memory Computation of a Machine-Learning Classifier in a Standard 6T SRAM Array. IEEE journal of solid-state circuits, vol.52, no.4, 915-924.

  5. Kang, Mingu, Gonugondla, Sujan K., Patil, Ameya, Shanbhag, Naresh R.. A Multi-Functional In-Memory Inference Processor Using a Standard 6T SRAM Array. IEEE journal of solid-state circuits, vol.53, no.2, 642-655.

  6. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers A 42 pJ/decision 3.12 TOPS/W robust in-memory machine learning classifier with on-chip training gonugondla 2018 490 

  7. Ando, Kota, Ueyoshi, Kodai, Orimo, Kentaro, Yonekawa, Haruyoshi, Sato, Shimpei, Nakahara, Hiroki, Takamaeda-Yamazaki, Shinya, Ikebe, Masayuki, Asai, Tetsuya, Kuroda, Tadahiro, Motomura, Masato. BRein Memory: A Single-Chip Binary/Ternary Reconfigurable in-Memory Deep Neural Network Accelerator Achieving 1.4 TOPS at 0.6 W. IEEE journal of solid-state circuits, vol.53, no.4, 983-994.

  8. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers A 65 nm 4 Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3 ns and 55.8 TOPS/W fully parallel product-sum operation for binary DNN edge processors khwa 2018 496 

  9. Lecun, Y., Bottou, L., Bengio, Y., Haffner, P.. Gradient-based learning applied to document recognition. Proceedings of the IEEE, vol.86, no.11, 2278-2324.

  10. 10.1109/CICC.2018.8357071 

  11. Chen, Yu-Hsin, Krishna, Tushar, Emer, Joel S., Sze, Vivienne. Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks. IEEE journal of solid-state circuits, vol.52, no.1, 127-138.

  12. Proc Adv Neural Inf Process Syst ImageNet classification with deep convolutional neural networks krizhevsky 2012 1097 

  13. 10.1007/978-3-319-46493-0_32 

  14. Moons, Bert, Verhelst, Marian. An Energy-Efficient Precision-Scalable ConvNet Processor in 40-nm CMOS. IEEE journal of solid-state circuits, vol.52, no.4, 903-914.

  15. Proc Adv Neural Inf Process Syst Binarized neural networks hubara 2016 4107 

  16. Proc Adv Neural Inf Process Syst BinaryConnect: Training deep neural networks with binary weights during propagations courbariaux 2015 3123 

  17. 10.1109/CVPR.2014.220 

  18. Hinton, G., Li Deng, Dong Yu, Dahl, G. E., Mohamed, A., Jaitly, N., Senior, Andrew, Vanhoucke, V., Nguyen, P., Sainath, T. N., Kingsbury, B.. Deep Neural Networks for Acoustic Modeling in Speech Recognition: The Shared Views of Four Research Groups. IEEE signal processing magazine, vol.29, no.6, 82-97.

  19. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers A 28 nm SoC with a 1.2 GHz 568 nJ/prediction sparse deep-neural-network engine with >0.1 timing error rate tolerance for IoT applications whatmough 2017 242 

  20. 10.1109/ISSCC.2018.8310262 

  21. Energy-efficient smart embedded memory design for IoT and AI biswas 2018 

  22. IEEE Int Solid-State Circuits Conf (ISSCC) Dig Tech Papers Envision: A 0.26-to-10 TOPS/W subword-parallel dynamic-voltage-accuracy-frequency-scalable convolutional neural network processor in 28 nm FDSOI moons 2017 246 

  23. Chuhong Duan, Gotterba, Andreas J., Sinangil, Mahmut E., Chandrakasan, Anantha P.. Energy-Efficient Reconfigurable SRAM: Reducing Read Power Through Data Statistics. IEEE journal of solid-state circuits, vol.52, no.10, 2703-2711.

  24. Proc Eur Solid-State Circuits Conf (ESSCIRC) A 0.36 V 128 Kb 6T SRAM with energy-efficient dynamic body-biasing and output data prediction in 28 nm FDSOI biswas 2016 433 

섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로