$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B05D-003/06
  • C23C-016/00
출원번호 US-0930121 (1992-08-17)
발명자 / 주소
  • Kwok Kurt (Mountain View CA) Robertson Robert (Palo Alto CA)
출원인 / 주소
  • Applied Materials, Inc. (Santa Clara CA 02)
인용정보 피인용 횟수 : 273  인용 특허 : 2

초록

A method of depositing good quality thermal CVD silicon oxide layers over a PECVD TEOS/oxygen silicon oxide layer comprising forming an interstitial layer by ramping down the power in the last few seconds of the PECVD deposition.

대표청구항

A process for depositing a plasma enhanced CVD (PECVD) silicon oxide layer on a substrate in a reactor comprising: a) depositing a PECVD silicon oxide layer from a plasma of tenaethylorthosilane (TEOS) and oxygen at a power level of about 5-10 watts per square centimeter on a semiconductor substrate

이 특허에 인용된 특허 (2)

  1. Wang David N. (Cupertino CA) White John M. (Hayward CA) Law Kam S. (Union City CA) Leung Cissy (Union City CA) Umotoy Salvador P. (Pittsburg CA) Collins Kenneth S. (San Jose CA) Adamik John A. (San R, CVD of silicon oxide using TEOS decomposition and in-situ planarization process.
  2. Suzuki Kunio (Tokyo JPX) Fukada Takeshi (Tokyo JPX) Kinka Mikio (Kanagawa JPX) Abe Masayoshi (Tokyo JPX) Shibata Katsuhiko (Hamamatsu JPX) Susukida Masato (Atsugi JPX) Ishida Noriya (Hase-Atsugi JPX), Chemical vapor processing method for deposition or etching on a plurality of substrates.

이 특허를 인용한 특허 (273)

  1. Woodruff, Daniel J.; Hanson, Kyle M.; Eudy, Steve L.; Weber, Curtis A.; Harris, Randy, Adaptable electrochemical processing chamber.
  2. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto, deceased,Dian, Adhesion improvement for low k dielectrics.
  3. Li,Lihua; Huang,Tzu Fang; Sugiarto, legal representative,Jerry; Xia,Li Qun; Lee,Peter Wai Man; M'Saad,Hichem; Cui,Zhenjiang; Park,Sohyun; Sugiarto,Dian, Adhesion improvement for low k dielectrics.
  4. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  5. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  6. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  7. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  8. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  9. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  10. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  11. Chen,Linlin; Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Apparatus and method for electrochemically depositing metal on a semiconductor workpiece.
  12. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  13. Hanson,Kyle M.; Ritzdorf,Thomas L.; Wilson,Gregory J.; McHugh,Paul R., Apparatus and methods for electrochemical processing of microelectronic workpieces.
  14. Robles Stuardo ; Sivaramakrishnan Visweswaren ; Galiano Maria ; Kithcart Victoria, Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films.
  15. Perng, Dung-Ching; Lee, Peter Wai-Man; Deacon, Thomas E., Apparatus for depositing low dielectric constant oxide film.
  16. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  17. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  18. Cheung, David; Yau, Wai-Fan; Mandal, Robert R., CVD plasma assisted low dielectric constant films.
  19. Cheung,David; Yau,Wai Fan; Mandal,Robert R., CVD plasma assisted low dielectric constant films.
  20. Wang, Linlin; Mallick, Abhijit Basu; Ingle, Nitin K., Capping layer for reduced outgassing.
  21. Lubomirsky, Dmitry, Chamber with flow-through source.
  22. Lubomirsky, Dmitry, Chamber with flow-through source.
  23. Hanson, Kyle M.; Klocke, John L., Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  24. Klocke,John; Hanson,Kyle M, Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  25. Klocke,John; Hanson,Kyle M, Chambers, systems, and methods for electrochemically processing microfeature workpieces.
  26. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  27. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  28. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  29. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  30. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  31. Liang, Jingmei; Chen, Xiaolin; Li, DongQing; Ingle, Nitin K., Conformal layers by radical-component CVD.
  32. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  33. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  34. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  35. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  36. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  37. Zhang, Lin; Chen, Xiaolin; Li, DongQing; Pham, Thanh N.; Moghadam, Farhad K.; Li, Zhuang; Krishnaraj, Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  38. Zhang,Lin; Chen,Xiaolin; Li,DongQing; Pham,Thanh N; Moghadam,Farhad K; Li,Zhuang; Krishnaraj,Padmanabhan, Deposition-selective etch-deposition process for dielectric film gapfill.
  39. Lubomirsky, Dmitry; Nemani, Srinivas D.; Yieh, Ellie, Dielectric deposition and etch back processes for bottom up gapfill.
  40. Miller, Matthew L.; Yang, Jang-Gyoo, Dielectric film formation using inert gas excitation.
  41. Liang, Jingmei; Chen, Xiaolin; Miller, Matthew L.; Ingle, Nitin K.; Venkataraman, Shankar, Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio.
  42. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  43. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  44. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  45. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  46. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  47. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  48. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  49. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  50. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  51. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  52. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  53. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  54. Law Kam S. ; Robertson Robert M. ; Shang Quanyuan ; Olsen Jeff ; Sorensen Carl, Dual frequency excitation of plasma for film deposition.
  55. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating apparatus with segmented anode array.
  56. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating apparatus with segmented anode array.
  57. Woodruff,Daniel J.; Hanson,Kyle M., Electroplating reactor.
  58. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  59. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  60. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  61. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  62. Lubomirsky, Dmitry; Liang, Qiwei; Yang, Jang Gyoo, Flowable dielectric equipment and processes.
  63. Liang, Jingmei; Ingle, Nitin K., Flowable dielectric using oxide liner.
  64. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  65. Farhad K. Moghadam ; David W. Cheung ; Ellie Yieh ; Li-Qun Xia ; Wai-Fan Yau ; Chi-I Lang ; Shin-Puu Jeng TW; Frederic Gaillard FR; Shankar Venkataraman ; Srinivas Nemani, Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound.
  66. Liang, Jingmei; Ingle, Nitin K.; Venkataraman, Shankar, Formation of silicon oxide using non-carbon flowable CVD processes.
  67. Vellaikal,Manoj; Mungekar,Hemant P.; Lee,Young S.; Okuno,Yasutoshi; Yuasa,Hiroshi, Gapfill using deposition-etch sequence.
  68. Kwan,Michael; Liu,Eric, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  69. Michael Kwan ; Eric Liu, Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD.
  70. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  71. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  72. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  73. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  74. Li, Dongqing; Chen, Xiaolin C.; Zhang, Lin, HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features.
  75. Tan,Zhengquan; Li,Dongqing; Zygmunt,Walter, HDP-CVD deposition process for filling high aspect ratio gaps.
  76. Karim,M. Ziaul; Kapoor,Bikram; Wang,Anchuan; Li,Dong Qing; Ozeki,Katsunari; Vellaikal,Manoj; Li,Zhuang, HDP-CVD multistep gapfill process.
  77. Ahmad, Farhan; Awdshiew, Michael; Jain, Alok; Kapoor, Bikram, High density plasma CVD process for gapfill into high aspect ratio features.
  78. Narwankar Pravin ; Murugesh Laxman ; Sahin Turgut ; Orczyk Maciek ; Qiao Jianmin, High deposition rate recipe for low dielectric constant films.
  79. Mallick, Abhijit Basu; Nemani, Srinivas D.; Yieh, Ellie, High quality silicon oxide films by remote plasma CVD from disilane precursors.
  80. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  81. Qi, Bo; Lee, Young S., High-throughput HDP-CVD processes for advanced gapfill applications.
  82. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  83. Kapoor, Bikram; Karim, M. Ziaul; Wang, Anchuan, Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology.
  84. Tan, Zhengquan; Li, Dongqing; Zygmunt, Walter; Ishikawa, Tetsuya, Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD.
  85. Krishnaraj, Padmanabhan; Ionov, Pavel; Lai, Canfeng; Cox, Michael Santiago; Shamouilian, Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  86. Krishnaraj,Padmanabhan; Ionov,Pavel; Lai,Canfeng; Cox,Michael Santiago; Shamouilian,Shamouil, In situ application of etch back for improved deposition into high-aspect-ratio features.
  87. Wang, Linlin; Mallick, Abhijit Basu; Ingle, Nitin K.; Venkataraman, Shankar, In-situ ozone cure for radical-component CVD.
  88. Karim,M. Ziaul; Li,DongQing; Byun,Jeong Soo; Pham,Thanh N., In-situ-etch-assisted HDP deposition using SiF.
  89. Karim, M. Ziaul; Li, DongQing; Byun, Jeong Soo; Pham, Thanh N., In-situ-etch-assisted HDP deposition using SiF4 and hydrogen.
  90. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  91. Farooq, Mukta G.; Oakley, Jennifer A.; Petrarca, Kevin S.; Reardon, Nicole R.; Simon, Andrew H., Insulating a via in a semiconductor substrate.
  92. Farooq, Mukta G.; Oakley, Jennifer A.; Petrarca, Kevin S.; Reardon, Nicole R.; Simon, Andrew H., Insulating a via in a semiconductor substrate.
  93. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  94. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  95. Sandhu Gurtej S. ; Iyer Ravi, Integrated circuitry comprising electrically insulative material over interconnect line tops, sidewalls and bottoms.
  96. Bjorkman, Claes H.; Yu, Min Melissa; Shan, Hongquing; Cheung, David W.; Yau, Wai-Fan; Liu, Kuowei; Chapra, Nasreen Gazala; Yin, Gerald; Moghadam, Farhad K.; Huang, Judy H.; Yost, Dennis; Tang, Betty;, Integrated low K dielectrics and etch stops.
  97. Bjorkman,Claes H.; Yu,Melissa Min; Shan,Hongquing; Cheung,David W.; Yau,Wai Fan; Liu,Kuowei; Chapra,Nasreen Gazala; Yin,Gerald; Moghadam,Farhad K.; Huang,Judy H.; Yost,Dennis; Tang,Betty; Kim,Yunsang, Integrated low k dielectrics and etch stops.
  98. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  99. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  100. Nemani, Srinivas D.; Lee, Young S.; Yieh, Ellie Y.; Wang, Anchuan; Bloking, Jason Thomas; Han, Lung Tien, Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD.
  101. Lee, Young S.; Wang, Anchuan; Chan, Lan Chia; Venkataraman, Shankar, Integrated process modulation for PSG gapfill.
  102. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  103. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  104. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  105. Sapre, Kedar; Hernandez, Manuel; Luo, Lei, Liner property improvement.
  106. Lubomirsky, Dmitry; Pinson, II, Jay D.; Floyd, Kirby H.; Khan, Adib; Venkataraman, Shankar, Loadlock batch ozone cure.
  107. Hong, Sukwon; Tran, Toan; Mallick, Abhijit; Liang, Jingmei; Ingle, Nitin K., Low shrinkage dielectric films.
  108. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  109. Liang, Jingmei; Ingle, Nitin K.; Hong, Sukwon; Patel, Anjana M., Low temperature silicon oxide conversion.
  110. Mungekar, Hemant P.; Wu, Jing; Lee, Young S.; Wang, Anchuan, Low wet etch rate silicon nitride film.
  111. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Manufacturing method of a thin film semiconductor device.
  112. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  113. Nguyen Bang C. ; Vankataranan Shankar ; Liao Ruby ; Lee Peter W., Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity.
  114. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  115. Hashim, Imran; Chiang, Tony; Chin, Barry, Method and apparatus for forming improved metal interconnects.
  116. Hashim,Imran; Chiang,Tony; Chin,Barry, Method and apparatus for forming improved metal interconnects.
  117. Ikeda Yasuo (Tokyo JPX), Method and apparatus for forming silicon oxide film by chemical vapor deposition.
  118. Anand Gupta, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  119. Gupta Anand, Method and apparatus for reducing particle contamination in a substrate processing chamber.
  120. Perng Dung-Ching ; Lee Peter Wai-Man ; Deacon Thomas E., Method for depositing low dielectric constant oxide films.
  121. Nguyen Bang C. ; Vankataranan Shankar ; Liao Ruby ; Lee Peter W., Method for elimination of TEOS/ozone silicon oxide surface sensitivity.
  122. Yu Chen-Hua,TWX ; Cheng Yao-Yi,TWX, Method for fabricating passivation layers over metal lines.
  123. Iyer Ravi, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  124. Iyer Ravi, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  125. Iyer, Ravi, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  126. Iyer, Ravi, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  127. Iyer,Ravi, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  128. Ravi Iyer, Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers.
  129. Iyer Ravi, Method for improving thickness uniformity of deposited ozone-teos silicate glass layers.
  130. Iyer, Ravi, Method for improving thickness uniformity of deposited ozone-teos silicate glass layers.
  131. Schraub, David M.; Breeden, Terry A.; Legg, James D.; Shroff, Mehul D.; Tian, Ruiqi, Method for reducing plasma discharge damage during processing.
  132. Ko, Jungmin, Method of fin patterning.
  133. Ishikawa Yoshimitsu (Nagasaki JPX), Method of forming oxide film.
  134. Ito Kazuyuki (Sanbumachi JPX) Nakamura Kyuzo (Sanbumachi JPX) Ishikawa Michio (Sanbumachi JPX) Togawa Jun (Sanbumachi JPX) Tani Noriaki (Sanbumachi JPX) Hashimoto Masanori (Sanbumachi JPX) Ohashi Yum, Method of forming passivation film.
  135. Amit S. Kelkar ; Michael D. Whiteman, Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG.
  136. Kelkar,Amit S.; Whiteman,Michael D., Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG.
  137. Terada Nobuhiro,JPX ; Tominaga Michitaka,JPX, Method of manufacturing a semiconductor device.
  138. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Method of manufacturing a semiconductor device.
  139. Yamazaki,Shunpei; Ohtani,Hisashi; Shimada,Hiroyuki; Sakama,Mitsunori; Abe,Hisashi; Teramoto,Satoshi, Method of manufacturing a semiconductor device.
  140. Campana-Schmitt, Francimar; Schimanke, Carsten, Method of reducing plasma-induced damage.
  141. Vassiliev Vladislav,SGX, Method of silicon oxide and silicon glass films deposition.
  142. Ha, Heung-Yong; Kwak, Soon-Jong; Kim, Daejin; Shim, Juno; Oh, In-Hwan; Hong, Seong-Ahn; Lim, Tae-Hoon; Nam, Suk-Woo, Method to manufacture composite polymer electrolyte membranes coated with inorganic thin films for fuel cells.
  143. Karim, M. Ziaul; Moghadam, Farhad K.; Salimian, Siamak, Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation.
  144. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  145. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  146. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  147. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  148. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  149. Li, Li; Weimer, Ronald; Stocks, Richard; Hill, Chris, Methods for filling trenches in a semiconductor material.
  150. Liang, Jingmei; Hong, Sukwon, Methods of curing non-carbon flowable CVD films.
  151. Li, Lihua; Tanaka, Tsutomu; Huang, Tzu-Fang; Xia, Li-Qun; Sugiarto, Dian; Sivaramakrishnan, Visweswaren; Lee, Peter Wai-Man; Silvetti, Mario David, Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics.
  152. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  153. Lubomirsky, Dmitry; Pinson, II, Jay D.; Floyd, Kirby H.; Khan, Adib; Venkataraman, Shankar, Module for ozone cure and post-cure moisture treatment.
  154. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  155. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  156. Chen, Chun; Fang, Shenqing, Non-volatile FINFET memory array and manufacturing method thereof.
  157. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  158. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  159. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  160. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  161. Li, DongQing; Liang, Jingmei; Ingle, Nitin K., Oxide-rich liner layer for flowable CVD gapfill.
  162. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  163. Mungekar,Hemant P.; Lee,Young S; Vellaikal,Manoj; Greig,Karen; Kapoor,Bikram, Oxygen plasma treatment for enhanced HDP-CVD gapfill.
  164. Ingle, Nitin; Mallick, Abhijit Basu; Solis, Earl Osman; Kovarsky, Nicolay; Lyubimova, Olga, Oxygen-doping for non-carbon radical-component CVD films.
  165. JangJian, Shiu-Ko; Huang, Wan-Ting; Chien, Yu-Jen; Sun, Phil, Oxygen-rich layers underlying BPSG.
  166. JangJian, Shiu-Ko; Huang, Wan-Ting; Chien, Yu-Jen; Sun, Phil, Oxygen-rich layers underlying BPSG.
  167. JangJian, Shiu-Ko; Huang, Wan-Ting; Chien, Yu-Jen; Sun, Phil, Oxygen-rich layers underlying BPSG.
  168. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  169. Ogasawara Masahiro,JPX ; Nonaka Ryo,JPX ; Kobayashi Yoshiyuki,JPX, Plasma process utilizing an electrostatic chuck.
  170. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  171. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  172. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  173. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  174. Wang, Anchuan; Chen, Xiaolin; Lee, Young S., Polysilicon films by HDP-CVD.
  175. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  176. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  177. Geiger, Fabrice; Gaillard, Frederic, Process for depositing a porous, low dielectric constant silicon oxide film.
  178. Cote Donna Rizzone ; Forster John Curt ; Grewal Virinder Singh ; Konecni Anthony Joseph ; Podlesnik Dragan Valentin, Process for reducing circuit damage during PECVD in single wafer PECVD system.
  179. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  180. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  181. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  182. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  183. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  184. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  185. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  186. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  187. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  188. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  189. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  190. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  191. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  192. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  193. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  194. Mungekar, Hemant P.; Patel, Anjana M.; Vellaikal, Manoj; Wang, Anchuan; Kapoor, Bikram, Reactive ion etching for semiconductor device feature topography modification.
  195. Woodruff Daniel J. ; Hanson Kyle M., Reactor assembly and method of assembly.
  196. Woodruff Daniel J. ; Hanson Kyle M., Reactor vessel having improved cup anode and conductor assembly.
  197. Daniel J. Woodruff ; Kyle M. Hanson, Reactor vessel having improved cup, anode and conductor assembly.
  198. Daniel J. Woodruff ; Kyle M. Hanson, Reactor vessel having improved cup, anode and conductor assembly.
  199. Woodruff Daniel J. ; Hanson Kyle M., Reactor vessel having improved cup, anode and conductor assembly.
  200. Woodruff, Daniel J.; Hanson, Kyle M., Reactor vessel having improved cup, anode and conductor assembly.
  201. Daniel J. Woodruff ; Kyle M. Hanson, Reactor vessel having improved cup, anode, and conductor assembly.
  202. Kweskin, Sasha; Gee, Paul Edward; Venkataraman, Shankar; Sapre, Kedar, Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor.
  203. Bhatia, Sidharth; Gee, Paul Edward; Venkataraman, Shankar, Reduced pattern loading using silicon oxide multi-layers.
  204. Jang Syun-Ming (Hsin-Chu TWX) Liu Lu-Min (Hsinchu Hsien TWX), Reduction of pattern sensitivity in ozone-teos deposition via a two-step (low and high temperature) process.
  205. Liang, Jingmei; Ji, Lili; Ingle, Nitin K., Remote plasma burn-in.
  206. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  207. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  208. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  209. Furuta, Gaku; Won, Tae Kyung; White, John M., Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber.
  210. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  211. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  212. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  213. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  214. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  215. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  216. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  217. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  218. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  219. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  220. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  221. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  222. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  223. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  224. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  225. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  226. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  227. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  228. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  229. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  230. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  231. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  232. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  233. Yaegashi, Hidetami, Semiconductor device manufacturing method and semiconductor device manufacturing apparatus.
  234. Sandhu Gurtej S. ; Iyer Ravi, Semiconductor processing method of forming electrically conductive interconnect lines and integrated circuitry.
  235. Gurtej S. Sandhu ; Ravi Iyer, Semiconductor processing method of forming insulative material over conductive lines.
  236. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  237. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  238. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  239. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  240. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  241. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  242. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  243. Nemani,Srinivas D.; Lee,Young S., Silicon oxide gapfill deposition using liquid precursors.
  244. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  245. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  246. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  247. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  248. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  249. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  250. Rossman, Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP CVD.
  251. Rossman,Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP CVD.
  252. Rossman,Kent, Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD.
  253. Lakshmanan,Annamalai; Padhi,Deenesh; Balasubramanian,Ganesh; Cui,Zhenjiang David; Raj,Daemian; Rocha Alvarez,Juan Carlos; Schmitt,Francimar; Kim,Bok Hoen, Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile.
  254. Yamazaki, Shunpei; Ohtani, Hisashi; Shimada, Hiroyuki; Sakama, Mitsunori; Abe, Hisashi; Teramoto, Satoshi, Substrate processing apparatus and a manufacturing method of a thin film semiconductor device.
  255. Shunpei Yamazaki JP; Hisashi Ohtani JP; Hiroyuki Shimada JP; Mitsunori Sakama JP; Hisashi Abe JP; Satoshi Teramoto JP, Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device.
  256. Liang, Jingmei; Chen, Xiaolin; Ingle, Nitin K.; Venkataraman, Shankar, Surface treatment and deposition for reduced outgassing.
  257. Wilson, Gregory J.; McHugh, Paul R.; Hanson, Kyle M., System for electrochemically processing a workpiece.
  258. Ruelke, Hartmut; Streck, Christof; Frohberg, Kai, Technique for forming a dielectric interlayer above a structure including closely spaced lines.
  259. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  260. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  261. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  262. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  263. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  264. Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece.
  265. Wilson,Gregory J.; McHugh,Paul R.; Weaver,Robert A.; Ritzdorf,Thomas L., Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece.
  266. Bhatia, Sidharth; Hamana, Hiroshi; Gee, Paul Edward; Venkataraman, Shankar, Two silicon-containing precursors for gapfill enhancing dielectric liner.
  267. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  268. Lee,Tai Peng; Haselden,Barbara, Use of TEOS oxides in integrated circuit fabrication processes.
  269. Rasheed,Muhammad M.; Kim,Steven H, Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas.
  270. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  271. Cho Gyung-Su,KRX, Varying TEOS flow rate while forming intermetallic insulating layers in semiconductor devices.
  272. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  273. Wilson,Gregory J.; McHugh,Paul R.; Hanson,Kyle M., Workpiece processor having processing chamber with improved processing fluid flow.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로