$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Method and apparatus for low temperature deposition of CVD and PECVD films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • C23C-016/00
출원번호 US-0253714 (1994-06-03)
발명자 / 주소
  • Foster Robert F. (Phoenix AZ) Hillman Joseph T. (Scottsdale AZ) Arora Rikhit (Mesa AZ)
출원인 / 주소
  • Materials Research Corporation (Gilbert AZ 02)
인용정보 피인용 횟수 : 139  인용 특허 : 123

초록

Method and apparatus are disclosed for low temperature deposition of CVD and PECVD films utilizing a gas-dispersing showerhead position within one inch of a rotating substrate. The showerhead is positioned a suitable distance below a gas-dispensing apparatus such as a steady stay flow of gas develop

대표청구항

An apparatus for deposition of a film on a substrate inside a chemical vapor deposition chamber comprising: a rotating susceptor to support and rotate said substrate inside said chamber, the rotating susceptor creating a pumping action toward the substrate; a gas-dispersing showerhead opposite the s

이 특허에 인용된 특허 (123)

  1. deBoer Wiebe B. (Amersfoort MN NLX) Jensen Klavs F. (Minneapolis MN) Johnson Wayne L. (Phoenix AZ) Read Gary W. (Chandler AZ) Robinson McDonald (Paradise Valley AZ), Apparatus for chemical vapor deposition using an axially symmetric gas flow.
  2. Maruyama Takahiro (Itami JPX) Ogawa Toshiaki (Itami JPX) Morita Hiroshi (Itami JPX) Ishida Tomoaki (Itami JPX) Kawai Kenji (Itami JPX), Apparatus for cleaning a substrate with metastable helium.
  3. Ishihara Shunichi (Ebina JPX), Apparatus for forming a deposited film.
  4. Suzuki Nobumasa (Yokohama JPX) Hayashi Senichi (Hiratsuka JPX), Apparatus for forming deposited film including light transmissive diffusion plate.
  5. Murakami Tsutomu (Nagahama JPX) Kanai Masahiro (Tokyo JPX), Apparatus for forming functional deposited film by microwave plasma CVD process.
  6. Murakami Tsutomu (Nagahama JPX) Kanai Masahiro (Tokyo JPX), Apparatus for forming functional deposited film by microwave plasma CVD process.
  7. Hirose Masahiko (Tokyo JPX) Akai Yoshimi (Yokohama JPX), Apparatus for forming organic polymer thin films utilizing microwave induced plasmas.
  8. Oda Masao (Hyogo JPX) Kinoshita Yoshimi (Hyogo JPX) Hayama Masahiro (Hyogo JPX), Apparatus for forming thin film.
  9. Kudo Daiziro (Yokohama JPX), Apparatus for plasma treatment of semiconductor materials.
  10. Niwa Kazuo (Yokohama JPX), Apparatus for the treatment of semiconductors.
  11. Gordon Roy G. (22 Highland St. Cambridge MA 02138), Chemical vapor deposition of titanium nitride and like films.
  12. Erbil Ahmet (Atlanta GA), Chemical vapor deposition of transistion metals.
  13. Ovshinsky Stanford R. (Bloomfield Hills MI), Continuous deposition of activated process gases.
  14. Ohmi Tadahiro (Sendai JPX) Miki Masahiro (Osaka JPX) Kikuyama Hirohisa (Nara JPX) Maeno Matagoro (Izumi JPX), Dry etching apparatus with diluted anhydrous hydrogen fluoride gas generator.
  15. Yamazaki Koji (Nagahama JPX) Kariya Toshimitsu (Nagahama JPX) Aoike Tatsuyuki (Nagahama JPX) Ehara Toshiyuki (Nagahama JPX) Yoshino Takehito (Nagahama JPX) Otoshi Hirokazu (Nagahama JPX), Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support.
  16. Ishihara Shunichi (Ebina JPX) Saito Keishi (Nabari JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Electrophotographic photosensitive member, process and apparatus for the preparation thereof.
  17. Shirai Shigeru (Nagahama JPX), Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydroge.
  18. Vukelic Michael (Felton CA), Fluid dispersion head for CVD appratus.
  19. Nakagawa Katsumi (Nagahama JPX) Ishihara Shunichi (Hikone JPX) Arao Kozo (Hikone JPX) Fujioka Yasushi (Nagahama JPX) Sakai Akira (Nagahama JPX) Kanai Masahiro (Tokyo JPX), Functional ZnSe:H deposited films.
  20. Nakagawa Katsumi (Sakai Akira Nagahama JPX) Ishihara Shunichi (Sakai Akira Hikone JPX) Kanai Masahiro (Sakai Akira Tokyo JPX) Murakami Tsutomu (Sakai Akira Nagahama JPX) Arao Kozo (Sakai Akira Hikone, Functional ZnSe1-xTex:H deposited film.
  21. Rose Alan D. (Wylie TX) Kennedy ; III Robert M. (Taylors SC), Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor.
  22. Arai Takayoshi (Nagahama JPX) Kanai Masahiro (Tokyo JPX), HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the rang.
  23. Hey H. Peter W. (Phoenix AZ) Mazak William A. (Mesa AZ) Aggarwal Ravinder K. (Mesa AZ) Curtin John H. (Phoenix AZ), High throughput multi station processor for multiple single wafers.
  24. Mori Yuzo (Katano JPX), High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure.
  25. Slomowitz Harry (Walnut Creek CA), Ignitor for a microwave sustained plasma.
  26. Doty Fred P. (Earlysville VA), In-situ generation of volatile compounds for chemical vapor deposition.
  27. Sandhu Gurtej S. (Boise ID) Doan Trung T. (Boise ID), LPCVD process for depositing titanium films for semiconductor devices.
  28. Amada Hiroshi (Nagahama JPX) Aoike Tatsuyuki (Nagahama JPX) Yoshino Takehito (Nagahama JPX) Okamura Ryuji (Shiga JPX), Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in elec.
  29. Hoke William E. (Wayland MA) Specht Lindley T. (Waltham MA) Korenstein Ralph (Framingham MA), Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials.
  30. Sandhu Gurtej S. (Boise ID) Buley Todd W. (Boise ID), Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of.
  31. Rosler, Richard S.; Engle, George M., Metal-silicide deposition using plasma-enhanced chemical vapor deposition.
  32. Aucoin Thomas R. (Ocean NJ) Wittstruck Richard H. (Howell NJ) Zhao Jing (Ellicott MD) Zawadzki Peter A. (Plainfield NJ) Baarck William R. (Fair Haven NJ) Norris Peter E. (Cambridge MA), Method and apparatus for depositing a refractory thin film by chemical vapor deposition.
  33. Oda Masao (Hyogo) Iwasa Tatsuya (Hyogo JPX), Method and apparatus for forming thin film.
  34. Kauffman Ralph E. (Boise ID) Prucha Michael J. (Bigfork MT) Beck James (Boise ID) Thakur Randhir P. S. (Boise ID) Martin Annette L. (Boise ID), Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films.
  35. Foster Robert F. (5002-3 E. Siesta Dr. Phoenix AZ 85044) Hillman Joseph T. (8025 E. McClellan Blvd. Scottsdale AZ 07410), Method for chemical vapor deposition of titanium nitride films at low temperatures.
  36. Taketoshi Kazuhisa (Sagamihara JPX) Ogusu Chihaya (Tokyo JPX), Method for fabricating a semiconductor device.
  37. Saitoh Keishi (Nabari JPX) Hirooka Masaaki (Toride JPX) Hanna Jun-ichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for forming a metal film on a substrate.
  38. Akahori Takashi (Hyogo JPX) Tanihara Akira (Kyoto JPX), Method for forming a thin film for a semiconductor device.
  39. Ishihara Shunichi (Hikone JPX) Hanna Jun-ichi (Yokohama JPX), Method for forming crystalline film employing localized heating of the substrate.
  40. Ishihara Shunichi (Ebina JPX) Hirooka Masaaki (Nabari JPX) Ohno Shigeru (Yokohama JPX), Method for forming deposition film using Si compound and active species from carbon and halogen compound.
  41. Saitoh Keishi (Nagahama JPX) Hashizume Junichiro (Nagahama JPX) Iida Shigehira (Ueno JPX) Takei Tetsuya (Nagahama JPX) Arai Takayoshi (Nagahama JPX), Method for forming functional deposited films by means of microwave plasma chemical vapor deposition method.
  42. Saitoh Keishi (Nagahama JPX) Hashizume Junichiro (Nagahama JPX) Iida Shigehira (Ueno JPX) Takei Tetsuya (Nagahama JPX) Arai Takayoshi (Nagahama JPX), Method for forming functional deposited films by means of microwave plasma chemical vapor deposition method.
  43. Tokunaga Hiroyuki (Kawasaki JPX), Method for forming polycrystalline film by chemical vapor deposition process.
  44. Ishihara Shunichi (Ebina JPX) Hanna Jun-Ichi (Yokohama JPX) Shimizu Isamu (Yokohama JPX), Method for preparation of multi-layer structure film.
  45. Ito Toshimichi (Tokyo JPX), Method for synthesis of diamond and apparatus therefor.
  46. Satou Mamoru (Kawanishi JPX) Yamaguchi Kouichi (Hayato JPX), Method for thin film formation.
  47. Ohmi Tadahiro (Sendai JPX) Miki Masahiro (Osaka JPX) Kikuyama Hirohisa (Nara JPX) Maeno Matagoro (Osaka JPX), Method of anhydrous hydrogen fluoride etching.
  48. Doehler Joachim (Union Lake MI) Hudgens Stephen J. (Southfield MI) Ovshinsky Stanford R. (Bloomfield Hills MI) Dotter ; II Buddie (Utica MI) Peedin Lester R. (Oak Park MI) Krisko Jeffrey M. (Highland, Method of creating a high flux of activated species for reaction with a remotely located substrate.
  49. Doehler Joachim (Union Lake MI) Hudgens Stephen J. (Southfield MI) Ovshinsky Stanford R. (Bloomfield Hills MI) Peedin Lester R. (Oak Park MI) Krisko Jeffrey M. (Highland MI), Method of depositing directly activated species onto a remotely located substrate.
  50. Ueno Tsuyoshi (Fujisawa JPX) Suzuki Katsumi (Tokyo JPX) Hirose Masataka (Hiroshima JPX), Method of forming amorphous silicon film.
  51. Kobayashi Nobuyoshi (Kawagoe JPX) Goto Hidekazu (Kokubunji JPX) Suzuki Masayuki (Kokubunji JPX) Homma Yoshio (Tokyo JPX) Yokoyama Natsuki (Mitaka JPX), Method of forming metal or metal silicide film.
  52. Ovshinsky Stanford R. (Bloomfield Hills MI) Allred David D. (Troy MI) Walter Lee (Bloomfield Hills MI) Hudgens Stephen J. (Southfield MI), Method of making amorphous semiconductor alloys and devices using microwave energy.
  53. Aoki Masaki (Minou JPX) Torii Hideo (Higashiosaka JPX) Okinaka Hideyuki (Toyonaka JPX) Sakai Masayuki (Minamikawachi JPX), Method of manufacturing a magnetic thin film.
  54. Foster Robert F. (Weston MA) Srinivas Damodaran (Tempe AZ), Method of nucleating tungsten on titanium nitride by CVD without silane.
  55. Kyel Birol (Hopewell NJ), Methods and apparatus for generating plasmas.
  56. Foster Robert F. (Weston MA) Rebenne Helen E. (Fair Lawn NJ), Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates.
  57. Kanai Masahiro (Tokyo JPX) Matsuyama Jinsho (Nagahama JPX) Nakagawa Katsumi (Nagahama JPX) Kariya Toshimitsu (Nagahama JPX) Fujioka Yasushi (Nagahama JPX) Takei Tetsuya (Nagahama JPX) Echizen Hiroshi, Microwave PCVD method for continuously forming a large area functional deposited film using a curved moving substrate we.
  58. Yamazaki Shunpei (Tokyo JPX), Microwave enhanced CVD method for depositing carbon.
  59. Kawakami Soichiro (Nagahama JPX), Microwave plasma chemical vapor deposition apparatus.
  60. Kanai Masahiro (Tokyo JPX), Microwave plasma chemical vapor deposition apparatus for continuously preparing semiconductor devices.
  61. Nakagawa Katsumi (Nagahama JPX) Ishihara Shunichi (Hikone JPX) Kanai Masahiro (Tokyo JPX) Murakami Tsutomu (Nagahama JPX) Arao Kozo (Hikone JPX) Fujioka Yasushi (Nagahama JPX) Sakai Akira (Nagahama J, PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic %.
  62. Kanai Masahiro (Tokyo JPX) Tanaka Hisami (Yokohama JPX) Sakou Harumi (Tokyo JPX), Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound.
  63. Nakagawa Katsumi (Nagahama JPX) Kanai Masahiro (Tokyo JPX) Ishihara Shunichi (Hikone JPX) Arao Kozo (Hikone JPX) Fujioka Yasushi (Nagahama JPX) Sakai Akira (Nagahama JPX) Murakami Tsutomu (Nagahama J, Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least ZN, SE and H.
  64. Grolitzer Arthur J. (Tarzana CA), Photovoltaic products and processes.
  65. Kanai Masahiro (Tokyo JPX) Aoike Tatsuyuki (Nagahama JPX) Matsuda Koichi (Nagahama JPX) Kawakami Soichiro (Hikone JPX), Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film.
  66. Kanai Masahiro (Tokyo JPX) Aoike Tatsuyuki (Nagahama JPX) Matsuda Koichi (Nagahama JPX) Kawakami Soichiro (Hikone JPX), Pin heterojunction photovoltaic elements with polycrystal AlP(H,F) semiconductor film.
  67. Kanai Masahiro (Tokyo JPX) Aoike Tatsuyuki (Nagahama JPX) Matsuda Koichi (Nagahama JPX) Kawakami Soichiro (Hikone JPX), Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film.
  68. Kanai Masahiro (Tokyo JPX) Aoike Tatsuyuki (Nagahama JPX) Matsuda Koichi (Nagahama JPX) Kawakami Soichiro (Hikone JPX), Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film.
  69. Kanai Masahiro (Tokyo JPX) Aoike Tatsuyuki (Nagahama JPX) Matsuda Koichi (Nagahama JPX) Kawakami Soichiro (Hikone JPX), Pin heterojunction photovoltaic elements with polycrystal GaP (H,F) semiconductor film.
  70. Nakagawa Katsumi (Nagahama JPX) Kanai Masahiro (Tokyo JPX) Ishihara Shunichi (Hikone JPX) Arao Kozo (Hikone JPX) Fujioka Yasushi (Nagahama JPX) Sakai Akira (Nagahama JPX) Murakami Tsutomu (Nagahama J, Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at.
  71. Nakagawa Katsumi (Nagahama JPX) Ishihara Shunichi (Hikone JPX) Kanai Masahiro (Tokyo JPX) Arao Kozo (Hikone JPX) Fujioka Yasushi (Nagahama JPX) Sakai Akira (Nagahama JPX), Pin junction photovoltaic element with P or N-type semiconductor layer comprising non-single crystal material containing.
  72. Fukuda Takuya (Hitachi JPX) Mochizuki Yasuhiro (Katsuta JPX) Momma Naohiro (Hitachi JPX) Takahashi Shigeru (Hitachiota JPX) Suzuki Noboru (Hitachi JPX) Sonobe Tadasi (Iwaki JPX) Chiba Kiyosi (Hitachi, Plasma operation apparatus.
  73. Fukasawa Kazuo (Kofu JPX) Suetsugu Masachika (Yamanashi JPX), Plasma processing apparatus including condensation preventing means.
  74. Ackermann Ulrich (Mainz-Gonsenheim DEX) Kersten Ralf T. (Bremthal DEX) Etzkorn Heinz-Werner (Neu-Ansbach DEX) Paquet Volker (Mainz DEX) Rutze Uwe (Mainz DEX), Plasma-enhanced CVD coating process.
  75. Foley Henry C. (Newark DE) Varrin ; Jr. Robert D. (Newark DE) Sengupta Sourav K. (Newark DE), Plasma-induced, in-situ generation, transport and use or collection of reactive precursors.
  76. Fujimura, Shuzo, Process and apparatus for plasma treatment.
  77. Gordon Roy G. (Cambridge MA) Fix Renaud (Somerville MA) Hoffman David (Concord MA), Process for chemical vapor deposition of transition metal nitrides.
  78. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming a deposited film.
  79. Ishihara Shunichi (Ebina JPX) Tsuda Hisanori (Atsugi JPX) Kanai Masahiro (Tokyo JPX) Sano Masafumi (Atsugi JPX), Process for forming a deposited film from two mutually reactive active species.
  80. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  81. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  82. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  83. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  84. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  85. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  86. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  87. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  88. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film.
  89. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film from a group II through group VI metal hydrocarbon compound.
  90. Ishihara Shunichi (Ebina JPX) Ohno Shigeru (Yokohama JPX) Kanai Masahiro (Tokyo JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposited film including carbon as a constituent element.
  91. Hirooka Masaaki (Toride JPX) Ogawa Kyosuke (Tokyo JPX) Ishihara Shunichi (Ebina JPX) Shimizu Isamu (Yokohama JPX), Process for forming deposition film.
  92. Arai Takayoshi (Nagahama JPX), Process for forming film in a three-chambered apparatus having two chamber faces coated with films of at least 106<.
  93. Nishida Shoji (Nagahama JPX), Process for forming functional zinc oxide films using alkyl zinc compound and oxygen-containing gas.
  94. Eichman Eric C. (Phoenix AZ) Sommer Bruce A. (Phoenix AZ) Churley Michael J. (Tempe AZ), Process for forming low resistivity titanium nitride films.
  95. Akai Yoshimi (Yokohama JPX) Hirose Masahiko (Yokohama JPX) Sanpei Hideo (Hiratsuka JPX), Process for forming photoconductive organic film.
  96. Ishihara Shunichi (Ebina JPX) Saito Keishi (Nabari JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for preparing a functional deposited film.
  97. Kanai Masahiro (Tokyo JPX) Yamagami Atsushi (Kawasaki JPX), Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas.
  98. Arai Takayoshi (Nagahama JPX) Kanai Masahiro (Tokyo JPX) Kawakami Soichiro (Nagahama JPX) Murakami Tsutomu (Nagahama JPX), Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms b.
  99. Kanai Masahiro (Tokyo JPX) Murakami Tsutomu (Nagahama JPX) Arai Takayoshi (Nagahama JPX) Kawakami Soichiro (Nagahama JPX), Process for the formation of a functional deposited film containing groups II and VI atoms by microwave plasma chemical.
  100. Kanai Masahiro (Tokyo JPX), Process for the formation of a functional deposited film containing groups III and V atoms by microwave plasma chemical.
  101. Nishida Shoji (Nagahama JPX), Process for the formation of a silicon-containing semiconductor thin film by chemically reacting active hydrogen atoms w.
  102. Beneking Heinz (Aachen DEX), Process for the manufacture of semiconductor layers on semiconductor bodies or for the diffusion of impurities from comp.
  103. Nakagawa Katsumi (Nagahama JPX), Process for the preparation of a multi-layer stacked junction typed thin film transistor using seperate remote plasma.
  104. Ishihara Shunichi (Ebina JPX) Saito Keishi (Nabari JPX) Oda Shunri (Tokyo JPX) Shimizu Isamu (Yokohama JPX), Process for the preparation of photoelectromotive force member.
  105. Eichman Eric C. (Phoenix AZ) Sommer Bruce A. (Phoenix AZ) Churley Michael J. (Tempe AZ), Processing for forming low resistivity titanium nitride films.
  106. Moslehi Mehrdad M. (Dallas TX) Davis Cecil J. (Greenville TX) Matthews Robert T. (Plano TX), Programmable multizone gas injector for single-wafer semiconductor processing equipment.
  107. Vakerlis George (Malden MA) Halverson Ward D. (Cambridge MA) Garg Diwakar (Macungie PA) Dyer Paul N. (Allentown PA), Radio frequency plasma enhanced chemical vapor deposition process and reactor.
  108. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer.
  109. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer.
  110. Markunas Robert J. (Chapel Hill NC) Hendry Robert (Hillsborough NC) Rudder Ronald A. (Cary NC), Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer.
  111. Foster Robert F. (Weston MA) Rebenne Helen E. (Fair Lawn NJ) LeBlanc Rene E. (Branford CT) White Carl L. (Gilbert AZ) Arora Rikhit (Mesa AZ), Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD.
  112. Foster Robert F. (Weston MA) Rebenne Helen E. (Fair Lawn NJ) LeBlanc Rene E. (Branford CT) White Carl L. (Gilbert AZ) Arora Rikhit (Mesa AZ), Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means.
  113. Foster Robert F. (Weston MA) Rebenne Helen E. (Fair Lawn NJ) LeBlanc Rene E. (Branford CT) White Carl L. (Gilbert AZ) Arora Rikhit (Mesa AZ), Semiconductor wafer processing method and apparatus with heat and gas flow control.
  114. Nakagawa Katsumi (Nagahama JPX), Stacked photovoltaic device with antireflection layer.
  115. Someno Yoshihiro (Miyagi JPX) Hirai Toshio (Sendai JPX) Sasaki Makoto (Sendai JPX), Synthesis method by plasma chemical vapor deposition.
  116. Wang David N. (Cupertino) White John M. (Hayward) Law Kam S. (Union City) Leung Cissy (Union City) Umotoy Salvador P. (Pittsburg) Collins Kenneth S. (San Jose) Adamik John A. (San Ramon) Perlov Ilya , Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planar.
  117. Moslehi Mehrdad M. (Palo Alto CA) Saraswat Krishna C. (Santa Clara County CA), Thermal/microwave remote plasma multiprocessing reactor and method of use.
  118. Kodama Jun (Kawasaki JPX) Araki Shin (Yokohama JPX), Thin film formation apparatus.
  119. Nakagawa Katsumi (Nagahama JPX) Shimizu Isamu (Yokohama JPX), Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer.
  120. Smith Gregory C. (Garland TX), Tin chemical vapor deposition using TiCl4 and SiH4.
  121. Yokoyama Natsuki (Mitaka JPX) Homma Yoshio (Tokyo JPX) Hinode Kenji (Hachioji JPX) Mukai Kiichiro (Hachioji JPX), Titanium nitride film in contact hole with large aspect ratio.
  122. Welch Kimo M. (Mountain View CA), Titanium nitride thin films for minimizing multipactoring.
  123. Petro William G. (San Jose CA) Moghadam Farhad (Los Gatos CA), UV transparent oxynitride deposition in single wafer PECVD system.

이 특허를 인용한 특허 (139)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Leusink Gerrit J. ; Ward Michael G. ; Bao Tayler,TWX ; Yeh Jerry ; Hillman Joseph T. ; Yasar Tugrul, Apparatus and method for electrically isolating an electrode in a PECVD process chamber.
  8. Kopacz Stanislaw ; Webb Douglas Arthur ; Leusink Gerrit Jan ; LeBlanc Rene Emile ; Ameen Michael S. ; Hillman Joseph Todd ; Foster Robert F. ; Rowan ; Jr. Robert Clark, Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions.
  9. Foster Robert F. ; Hillman Joseph T. ; LeBlanc Rene E., Apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition.
  10. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  11. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  12. Sabri, Mohamed; Augustyniak, Edward; Keil, Douglas L.; Lingampalli, Ramkishan Rao; Leeser, Karl; Barnett, Cody, Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor.
  13. Lubomirsky, Dmitry, Chamber with flow-through source.
  14. Lubomirsky, Dmitry, Chamber with flow-through source.
  15. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  16. Begarney, Michael J.; Campanale, Frank J., Chemical vapor deposition reactor.
  17. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  18. Burns,Steven M.; Hahn,Steven P., Clean atmosphere heat treat for coated turbine components.
  19. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  20. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  21. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  22. Keller, Ernst; White, John M.; Tiner, Robin L.; Kucera, Jiri; Choi, Soo Young; Park, Beom Soo; Starr, Michael, Diffuser gravity support.
  23. Keller,Ernst; White,John M.; Tiner,Robin L.; Kucera,Jiri; Choi,Soo Young; Park,Beom Soo; Starr,Michael, Diffuser gravity support.
  24. Choi, Soo Young; White, John M., Diffuser plate with slit valve compensation.
  25. White, John M.; Tiner, Robin L.; Chang, Yeh Kurt, Diffuser support.
  26. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  27. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  28. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  29. Kennedy, William S.; Jacob, David E., Electrode assembly for plasma processing apparatus.
  30. Hyot, Bérangère; Amstatt, Benoit; Armand, Marie-Françoise; Dupont, Florian, Electronic device containing nanowire(s), equipped with a transition metal buffer layer, process for growing at least one nanowire, and process for manufacturing a device.
  31. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  32. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  33. Jeong Kyung Cheol,KRX, Gas distribution system and method for chemical vapor deposition apparatus.
  34. Keller, Ernst, Gas sealing skirt for suspended showerhead in process chamber.
  35. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  36. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  37. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  38. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  39. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  40. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  41. Fink,Steven T., Hybrid ball-lock attachment apparatus.
  42. Chantal Arena-Foster, In-situ source synthesis for metal CVD.
  43. Shufflebotham Paul Kevin ; McMillin Brian ; Demos Alex ; Nguyen Huong ; Berney Butch ; Ben-Dor Monique, Inductively coupled plasma CVD.
  44. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  45. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  46. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  47. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  48. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  49. Hirata, Hironobu; Yajima, Masayoshi; Moriyama, Yoshikazu, Manufacturing method for semiconductor device.
  50. Keil, Douglas; Augustyniak, Edward; Leeser, Karl; Sabri, Mohamed, Mechanical suppression of parasitic plasma in substrate processing chamber.
  51. Nishikawa Nobuyuki,JPX ; Suzuki Toshiya,JPX, Method for production of semiconductor device.
  52. Arena Chantal ; Bertram Ronald T. ; Guidotti Emmanuel,FRX ; Hillman Joseph T., Method of eliminating edge effect in chemical vapor deposition of a metal.
  53. Ko, Jungmin, Method of fin patterning.
  54. Arena Chantal ; Bertram Ronald T. ; Guidotti Emmanuel,FRX ; Hillman Joseph T., Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films.
  55. Kennedy, William S.; Jacob, David E., Method of making an electrode assembly for plasma processing apparatus.
  56. Tamura, Tatsuya; Kumagai, Takeshi, Method of manufacturing a silicon oxide film.
  57. Hillman Joseph T. ; Ameen Michael S. ; Foster Robert F., Method of titanium nitride contact plug formation.
  58. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  59. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  60. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  61. Kwak, Byung-sung; Singh, Kaushal K.; Bangert, Stefan; Krishna, Nety M., Methods for plasma activation of evaporated precursors in a process chamber.
  62. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  63. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  64. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  65. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  66. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  67. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  68. Guo Xin Sheng ; Koai Keith ; Chen Ling ; Bhan Mohan K. ; Zheng Bo, Pattern of apertures in a showerhead for chemical vapor deposition.
  69. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  70. Sneh, Ofer, Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems.
  71. Kwon, Tae-Kyun, Plasma apparatus.
  72. Tompa Gary Steven, Plasma enhanced chemical vapor deposition system.
  73. Imahashi Issei,JPX, Plasma polishing method.
  74. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  75. Choi, Soo Young; Park, Beom Soo; White, John M.; Tiner, Robin L., Plasma uniformity control by gas diffuser curvature.
  76. Choi, Soo Young; White, John M.; Wang, Qunhua; Hou, Li; Kim, Ki Woon; Kurita, Shinichi; Won, Tae Kyung; Anwar, Suhail; Park, Beom Soo; Tiner, Robin L., Plasma uniformity control by gas diffuser hole design.
  77. Choi, Soo Young; White, John M.; Wang, Qunhua; Hou, Li; Kim, Ki Woon; Kurita, Shinichi; Won, Tae Kyung; Anwar, Suhail; Park, Beom Soo; Tiner, Robin L., Plasma uniformity control by gas diffuser hole design.
  78. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  79. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  80. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  81. Tada Kunihiro,JPX ; Wakabayashi Satoshi,JPX, Process of forming metal films and multi layer structure.
  82. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  83. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  84. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  85. Gurtej S. Sandhu ; Sujit Sharan ; Anand Srinivasan, Quasi-remote plasma processing method and apparatus.
  86. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  87. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  88. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  89. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  90. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  91. Umotoy Salvador ; Nguyen Anh N. ; Tran Truc T. ; Chung-Lei Lawrence ; Chang Mei, Reactor optimized for chemical vapor deposition of titanium.
  92. Koai Keith ; Johnson Mark ; Chang Mei ; Lei Lawrence Chung, Reactor useful for chemical vapor deposition of titanium nitride.
  93. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  94. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  95. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  96. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  97. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  98. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  99. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  100. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  101. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  102. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  103. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  104. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  105. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  106. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  107. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  108. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  109. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  110. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  111. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  112. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  113. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  114. Yamada, Takakazu; Masuda, Takeshi; Kajinuma, Masahiko; Nishioka, Yutaka; Uematsu, Masaki; Suu, Koukou, Shower head, device and method for manufacturing thin films.
  115. White, John M.; Sterling, William Norman, Showerhead mounting to accommodate thermal expansion.
  116. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  117. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  118. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  119. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  120. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  121. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  122. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  123. Takahiro Horiguchi JP; Wataru Okase JP; Eiichiro Takanabe JP, Single-substrate-treating apparatus for semiconductor processing system.
  124. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  125. Hillman Joseph T., Stacked showerhead assembly for delivering gases and RF power to a reaction chamber.
  126. Shang Quanyuan ; Sun Sheng ; Law Kam S. ; Beer Emanuel, Surface-treated shower head for use in a substrate processing chamber.
  127. Keller, Ernst; Shang, Quanyuan, Suspended gas distribution manifold for plasma chamber.
  128. White,John M.; Keller,Ernst; Blonigan,Wendell T., Suspended gas distribution plate.
  129. Keller, Ernst, Suspension for showerhead in process chamber.
  130. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  131. Tanaka,Masahiko; Ikemoto,Manabu; Yokogawa,Naoaki, Thin-film disposition apparatus.
  132. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  133. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  134. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  135. Ameen Michael S. ; Leusink Gert ; Hillman Joseph T., Use of TiCl.sub.4 etchback process during integrated CVD-Ti/TiN wafer processing.
  136. Turner, Michael, Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean.
  137. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  138. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
  139. Mitrovic, Bojan; Mangum, Joshua; Quinn, William E., Wafer carrier with sloped edge.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로