$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

Modulating etch selectivity and etch rate of silicon nitride thin films 원문보기

IPC분류정보
국가/구분 United States(US) Patent 등록
국제특허분류(IPC7판)
  • B44C-001/22
  • C03C-015/00
  • C03C-025/68
  • C23F-001/00
  • C23F-003/00
출원번호 US-0002085 (2007-12-13)
등록번호 US-8187486 (2012-05-29)
발명자 / 주소
  • Liu, Xinye
  • Lai, Chiukin Steven
출원인 / 주소
  • Novellus Systems, Inc.
대리인 / 주소
    Weaver Austin Villeneuve & Sampson LLP
인용정보 피인용 횟수 : 161  인용 특허 : 57

초록

Etching of nitride and oxide layers with reactant gases is modulated by etching in different process regimes. High etch selectivity to silicon nitride is achieved in an adsorption regime where the partial pressure of the etchant is lower than its vapor pressure. Low etch selectivity to silicon nitri

대표청구항

1. A method comprising: (a) depositing an etchant on a feature of a surface of a work piece under conditions that thermodynamically provide for condensation of the etchant, wherein an oxide and a liner are on the feature;(b) etching the oxide on the feature;(c) depositing the etchant on the feature

이 특허에 인용된 특허 (57)

  1. Liu,Xinye; Collins,Joshua; Ashtiani,Kaihan A., Adsorption based material removal process.
  2. Yoder Max N. (Falls Church VA), Atomic layer etching.
  3. van Schravendijk, Bart; te Nijenhuis, Harald, Atomic layer removal for high aspect ratio gapfill.
  4. Witek Keith E. ; Chen Mike Hsiao-Hui ; Poon Stephen Shiu-Kong, Capped shallow trench isolation and method of formation.
  5. Jeng Shwu-Jen (Wappingers Falls NY) Natzle Wesley C. (Wappingers Falls NY) Yu Chienfan (Highland Mills NY), Device and method for accurate etching and removal of thin film.
  6. Wesley Natzle ; Richard A. Conti ; Laertis Economikos ; Thomas Ivers ; George D. Papasouliotis, Directional CVD process with optimized etchback.
  7. Imai Shinichi,JPX ; Jiwari Nobuhiro,JPX, Dry etching method and semiconductor device fabrication method.
  8. Cantell, Marc W.; Natzle, Wesley; Ruegsegger, Steven M., Etching of hard masks.
  9. Barnes Michael S. (San Francisco CA) Keller John H. (Poughkeepsie NY) Holber William M. (Boston MA) Cotler Tina J. (Newburgh NY) Chapple-Sokol Jonathan D. (Poughkeepsie NY) Podlesnik Dragan (New York, Etching of silicon dioxide selectively to silicon nitride and polysilicon.
  10. Natzle Wesley Charles ; Wu Jin Jwang ; Yu Chienfan, Film removal by chemical transformation and aerosol clean.
  11. Cui, Zhenjiang; Roberts, Rick J.; Cox, Michael S.; Zhao, Jun, Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques.
  12. Papasouliotis George D. ; Chakravarti Ashima B. ; Conti Richard A. ; Economikos Laertis ; Van Cleemput Patrick A., High throughput chemical vapor deposition process capable of filling high aspect ratio structures.
  13. Cho,Seon Mee; Papasouliotis,George D., Localized energy pulse rapid thermal anneal dielectric film densification method.
  14. Natzle, Wesley C.; Ahlgren, David C.; Barbee, Steven G.; Cantell, Marc W.; Jagannathan, Basanth; Lanzerotti, Louis D.; Subbanna, Seshadri; Wuthrich, Ryan W., Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling.
  15. Brown, Karl; Mehta, Vineet; Phan, See-Eng; Sherstinsky, Semyon; Lau, Allen, Lower pedestal shield.
  16. Nemoto,Takenao; Guidotti,Emmanuel; Leusink,Gert, Method and apparatus for removing material from chamber and wafer surfaces by high temperature hydrogen-containing plasma.
  17. Thakur Randhir P. S., Method for cleaning semiconductor wafers.
  18. Chou, Shih-Wei; Wu, Chii-Ming, Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance.
  19. Roche Gregory A. ; Hodul David T. ; Vahedi Vahid, Method for reduction of plasma charging damage during chemical vapor deposition.
  20. Colgan, Evan George; Law, Robert W.; Shinde, Subhash Laxman, Method of bonding optical fibers and optical fiber assembly.
  21. MacLeish Joseph H. ; Sanganeria Mahesh K., Method of cleaning wafer substrates.
  22. Srinivas, Ramanujapuram A.; Bhan, Mohan K.; Kopp, Jennifer, Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step.
  23. Kim,Hong Rae; Lee,Ju Bum; Kim,Min, Method of forming trench isolations.
  24. Ohira Koichiro,JPX ; Karakawa Katsuyuki,JPX ; Izumi Kazutoshi,JPX ; Doki Masahiko,JPX, Method of making a semiconductor device having planarized insulating layer.
  25. Yoo Chue-San,TWX ; Liang Mong-Song,TWX, Method of making dual isolation regions for logic and embedded memory devices.
  26. Natzle, Wesley C.; Cantell, Marc W.; Lanzerotti, Louis D.; Leobandung, Effendi; Tessier, Brian L.; Wuthrich, Ryan W., Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures.
  27. Sokoloski Joseph C. (East Brunswick NJ), Method of manufacturing submicron channel transistors.
  28. Nishino Hirotaka (Yokohama JPX) Hayasaka Nobuo (Yokohama JPX) Okano Haruo (Tokyo JPX), Method of oxide etching with condensed plasma reaction product.
  29. Kleinhenz Richard L. ; Natzle Wesley C. ; Yu Chienfan, Method of patterning sidewalls of a trench in integrated circuit manufacturing.
  30. Chen, Lee; Yue, Hongyu; Kambara, Hiromitsu, Method of trimming a gate electrode structure.
  31. Liu, Xinye; Yang, Yu; Lai, Chiukin Steven, Methods for removing silicon nitride and other materials during fabrication of contacts.
  32. Cuomo Jerome J. (Lincolndale NY), Negative ion beam selective etching process.
  33. Kleinhenz Richard L. ; Natzle Wesley C. ; Yu Chienfan, Oxide layer patterned by vapor phase etching.
  34. Ahlgren David C. ; Bronner Gary B. ; Natzle Wesley C. ; Walton Erick G. ; Yu Chienfan, Oxide strip that improves planarity.
  35. Lim Chong Wee,MYX ; Lim Eng Hua,SGX ; Siah Soh Yun,SGX ; Lee Kong Hean,SGX ; Low Chun Hui,MYX, Partially recessed shallow trench isolation method for fabricating borderless contacts.
  36. Brown, Karl; Mehta, Vineet; Phan, See-Eng; Sherstinsky, Semyon; Lau, Allen, Pedestal with integral shield.
  37. Brown, Karl; Mehta, Vineet; Phan, See-Eng; Sherstinsky, Semyon; Lau, Allen, Pedestal with integral shield.
  38. Miller,Gayle; Brown,Eric, Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation.
  39. Natzle, Wesley C.; Doris, Bruce B.; Deshpande, Sadanand V.; Mo, Renee T.; O'Neil, Patricia A., Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer.
  40. Halliyal, Arvind; Cheung, Fred T K; Sugino, Rinji; Shiraiwa, Hidehiko; Kamal, Tazrien; Yang, Jean Y., Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device.
  41. Kobayashi, Yasuo; Miyatani, Kotaro; Maekawa, Kaoru, Processing method and apparatus for removing oxide film.
  42. Kobayashi, Yasuo; Miyatani, Kotaro; Maekawa, Kaoru, Processing method and apparatus for removing oxide film.
  43. Otsuki Hayashi (Nirasaki JPX) Deguchi Yoichi (Machida JPX), Processing method and apparatus thereof.
  44. Hamelin, Thomas; Wallace, Jay; Laflamme, Jr., Arthur, Processing system and method for chemically treating a substrate.
  45. Hamelin,Thomas; Wallace,Jay; Laflamme, Jr.,Arthur, Processing system and method for thermally treating a substrate.
  46. Hamelin,Thomas; Wallace,Jay; LaFlamme, Jr.,Arthur, Processing system and method for treating a substrate.
  47. Banerjee Aditi D. ; Mercer Douglas E. ; Wise Rick L., Rapid thermal annealing of doped polycrystalline silicon structures formed in a single-wafer cluster tool.
  48. Subrahmanyan, Suchitra; Chen, Liang-Yuh; Mosely, Roderick Craig, Reactive preclean prior to metallization for sub-quarter micron application.
  49. Chapple-Sokol Jonathan D. (Poughkeepsie NY) Conti Richard A. (Mount Kisco NY) Kotecki David E. (Hopewell Junction NY) Simon Andrew H. (Fishkill NY) Tejwani Manu (Yorktown Heights NY), Safe method for etching silicon dioxide.
  50. Yu Chienfan (Highland Mills NY) Kotecki David E. (Hopewell Junction NY) Natzle Wesley C. (New Paltz NY), Sealed chamber with heating lamps provided within transparent tubes.
  51. Torek Kevin James ; Lee Whonchee ; Hawthorne Richard C., Selective etching of oxides.
  52. Evans, David R.; Hsu, Sheng Teng; Ulrich, Bruce D.; Tweet, Douglas J.; Stecker, Lisa H., Self-aligned shallow trench isolation process having improved polysilicon gate thickness control.
  53. Matsuda, Taketo, Semiconductor device fabrication method.
  54. Geiss, Peter J.; Joseph, Alvin J.; Liu, Xuefeng; Nakos, James S.; Quinlivan, James J., Silicon dioxide removing method.
  55. Grebinski Thomas J. (Sunnyvale CA), Surface treatment to remove impurities in microrecesses.
  56. Kleinhenz Richard L. ; Natzle Wesley C. ; Yu Chienfan, Trench sidewall patterned by vapor phase etching.
  57. Kleinhenz Richard L. ; Natzle Wesley C. ; Yu Chienfan, Vapor phase etching of oxide masked by resist or masking material.

이 특허를 인용한 특허 (161)

  1. Nemani, Srinivas D.; Koshizawa, Takehito, Air gap process.
  2. Purayath, Vinod R.; Ingle, Nitin K., Air gaps between copper lines.
  3. Kang, Sean; Ko, Jungmin; Luere, Oliver, Airgap formation with damage-free copper.
  4. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum oxide selective etch.
  5. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Aluminum selective etch.
  6. Xue, Jun; Hsu, Ching-Mei; Li, Zihui; Godet, Ludovic; Wang, Anchuan; Ingle, Nitin K., Anisotropic gap etch.
  7. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  8. Benjaminson, David; Lubomirsky, Dmitry; Math, Ananda Seelavanth; Natarajan, Saravanakumar; Chourey, Shubham, Bolted wafer chuck thermal management systems and methods for wafer processing systems.
  9. Lubomirsky, Dmitry, Chamber with flow-through source.
  10. Lubomirsky, Dmitry, Chamber with flow-through source.
  11. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  12. Liang, Qiwei; Chen, Xinglong; Chuc, Kien; Lubomirsky, Dmitry; Park, Soonam; Yang, Jang-Gyoo; Venkataraman, Shankar; Tran, Toan; Hinckley, Kimberly; Garg, Saurabh, Chemical control features in wafer process equipment.
  13. Wang, Xikun; Pandit, Mandar; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K.; Liu, Jie, Chlorine-based hardmask removal.
  14. Wang, Xikun; Cui, Zhenjiang; Park, Soonam; Ingle, Nitin K., Cobalt-containing material removal.
  15. Lubomirsky, Dmitry; Kim, Sung Je, Conditioned semiconductor system parts.
  16. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  17. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Conformal oxide dry etch.
  18. Hoinkis, Mark; Yan, Chun; Miyazoe, Hiroyuki; Joseph, Eric, Copper residue chamber clean.
  19. Zhu, Lina; Kang, Sean S.; Nemani, Srinivas D.; Kao, Chia-Ling, Delicate dry clean.
  20. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  21. Park, Seung H.; Wang, Yunyu; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Differential silicon oxide etch.
  22. Berry, III, Ivan L.; Lill, Thorsten; Reynolds, Kenneth Reese, Differentially pumped reactive gas injector.
  23. Purayath, Vinod R.; Wang, Anchuan; Ingle, Nitin K., Dopant etch selectivity control.
  24. Zhang, Jingchun; Ingle, Nitin K.; Wang, Anchuan, Dry etch process.
  25. Kim, Sang Hyuk; Yang, Dongqing; Lee, Young S.; Jung, Weon Young; Kim, Sang-jin; Hsu, Ching-Mei; Wang, Anchuan; Ingle, Nitin K., Dry-etch for selective oxidation removal.
  26. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  27. Wang, Xikun; Hsu, Ching-Mei; Ingle, Nitin K.; Li, Zihui; Wang, Anchuan, Dry-etch for selective tungsten removal.
  28. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  29. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Wang, Yunyu; Lee, Young, Dry-etch for silicon-and-carbon-containing films.
  30. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  31. Ren, He; Yang, Jang-Gyoo; Baek, Jonghoon; Wang, Anchuan; Park, Soonam; Garg, Saurabh; Chen, Xinglong; Ingle, Nitin K., Dry-etch selectivity.
  32. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Dual discharge modes operation for remote plasma.
  33. Ingle, Nitin K.; Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Enhanced etching processes using remote plasma sources.
  34. Korolik, Mikhail; Ingle, Nitin K.; Zhang, Jingchun; Wang, Anchuan; Liu, Jie, Etch suppression with germanium.
  35. Moriya, Shuji, Etching apparatus and etching method.
  36. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Even tungsten etch for high aspect ratio trenches.
  37. Purayath, Vinod R.; Ingle, Nitin K., Flash gate air gap.
  38. Pandit, Mandar; Wang, Xikun; Cui, Zhenjiang; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Fluorine-based hardmask removal.
  39. Park, Seung; Wang, Xikun; Liu, Jie; Wang, Anchuan; Kim, Sang-jin, Gas-phase tungsten etch.
  40. Kim, Sung Je; Kalita, Laksheswar; Pareek, Yogita; Kadam, Ankur; Goradia, Prerna Sonthalia; Thakur, Bipin; Lubomirsky, Dmitry, Generation of compact alumina passivation layers on aluminum plasma equipment components.
  41. Korolik, Mikhail; Ingle, Nitin; Kioussis, Dimitri, Germanium etching systems and methods.
  42. Tolle, John; Goodman, Matthew G., Germanium oxide pre-clean module and process.
  43. Cho, Tae; Kang, Sang Won; Yang, Dongqing; Lu, Raymond W.; Hillman, Peter; Celeste, Nicholas; Tan, Tien Fak; Park, Soonam; Lubomirsky, Dmitry, Grooved insulator to reduce leakage current.
  44. Tran, Toan Q.; Malik, Sultan; Lubomirsky, Dmitry; Roy, Shambhu N.; Kobayashi, Satoru; Cho, Tae Seung; Park, Soonam; Venkataraman, Shankar, High temperature chuck for plasma processing systems.
  45. Chen, Zhijun; Li, Zihui; Ingle, Nitin K.; Wang, Anchuan; Venkataraman, Shankar, Highly selective doped oxide removal method.
  46. Chen, Xinglong; Lubomirsky, Dmitry; Venkataraman, Shankar, Insulated semiconductor faceplate designs.
  47. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  48. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated bit-line airgap formation and gate stack post clean.
  49. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Integrated oxide and nitride recess for better channel contact in 3D architectures.
  50. Purayath, Vinod R.; Thakur, Randhir; Venkataraman, Shankar; Ingle, Nitin K., Integrated oxide recess and floating gate fin trimming.
  51. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  52. Sapre, Kedar; Ingle, Nitin; Tang, Jing, Intrench profile.
  53. Tang, Jing; Ingle, Nitin; Yang, Dongqing; Venkataraman, Shankar, Invertable pattern loading with dry etch.
  54. Lill, Thorsten; Berry, III, Ivan L.; Ricci, Anthony, Ion beam etching utilizing cryogenic wafer temperatures.
  55. Berry, III, Ivan L.; Lill, Thorsten, Ion injector and lens system for ion beam milling.
  56. Berry, III, Ivan L.; Lill, Thorsten, Ion injector and lens system for ion beam milling.
  57. Lill, Thorsten; Berry, III, Ivan L.; Shen, Meihua; Schoepp, Alan M.; Hemker, David J., Isotropic atomic layer etch for silicon and germanium oxides.
  58. Berry, III, Ivan L.; Park, Pilyeon; Yaqoob, Faisal, Isotropic atomic layer etch for silicon oxides using no activation.
  59. Subramani, Anantha K.; Gangakhedkar, Kaushal; Chowdhury, Abhishek; Forster, John C.; Nuntaworanuch, Nattaworn; Bera, Kallol; Kraus, Philip A.; Houshmand, Farzad, Lateral plasma/radical source.
  60. Nguyen, Son T.; Lubomirsky, Dmitry, Layered thin film heater and method of fabrication.
  61. Hsu, Ching-Mei; Ingle, Nitin K.; Hamana, Hiroshi; Wang, Anchuan, Low temperature gas-phase carbon removal.
  62. Purayath, Vinod R.; Thakur, Randhir; Ingle, Nitin K., Metal air gap.
  63. Takasawa, Yushin; Karasawa, Hajime; Hirose, Yoshiro, Method and apparatus of manufacturing a semiconductor device by forming a film on a substrate.
  64. Sutardja, Pantas; Chang, Runzi, Method for creating ultra-high-density holes and metallization.
  65. Ko, Jungmin, Method of fin patterning.
  66. Li, Zihui; Kao, Chia-Ling; Wang, Anchuan; Ingle, Nitin K., Methods for anisotropic control of selective silicon removal.
  67. Chang, Mei; Yudovsky, Joseph, Methods for atomic layer etching.
  68. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of SiN films.
  69. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of metal and metal-oxide films.
  70. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Methods for etch of metal and metal-oxide films.
  71. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin, Methods for etch of sin films.
  72. Ahn, Kevin; Yoon, Bo-Un; Han, Jeong-Nam, Methods for fabricating semiconductor devices.
  73. Hong, Sukwon; Hamana, Hiroshi; Liang, Jingmei, Methods of reducing substrate dislocation during gapfill processing.
  74. Kanarik, Keren Jacobs, Mixed mode pulsing etching in plasma processing systems.
  75. Kanarik, Keren Jacobs, Mixed mode pulsing etching in plasma processing systems.
  76. Liu, Xinye; Lai, Chiukin Steven, Modulating etch selectivity and etch rate of silicon nitride thin films.
  77. Kapoor, Sunil; Leeser, Karl F.; LaVoie, Adrien; Rangineni, Yaswanth, Multi-station plasma reactor with RF balancing.
  78. Kapoor, Sunil; Leeser, Karl F.; LaVoie, Adrien; Rangineni, Yaswanth, Multi-station plasma reactor with RF balancing.
  79. Kapoor, Sunil; Leeser, Karl F.; LaVoie, Adrien; Rangineni, Yaswanth, Multi-station plasma reactor with RF balancing.
  80. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  81. Chen, Zhijun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Non-local plasma oxide etch.
  82. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K.; Anthis, Jeffrey W.; Schmiege, Benjamin, Oxide and metal removal.
  83. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  84. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Oxide etch selectivity enhancement.
  85. Xu, Lin; Chen, Zhijun; Wang, Anchuan; Nguyen, Son T., Oxide etch selectivity systems and methods.
  86. Lubomirsky, Dmitry, Oxygen compatible plasma source.
  87. Chen, Xinglong; Yang, Jang-Gyoo; Tam, Alexander; Tam, Elisha, Pedestal with multi-zone temperature control and multiple purge capabilities.
  88. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  89. Tolle, John; Goodman, Matthew G.; Vyne, Robert Michael; Hill, Eric R., Plasma pre-clean module and process.
  90. Lubomirsky, Dmitry, Plasma processing system with direct outlet toroidal plasma source.
  91. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Plasma-free metal etch.
  92. Cho, Tae Seung; Sen, Yi-Heng; Park, Soonam; Lubomirsky, Dmitry, Polarity control for remote plasma.
  93. Choi, Tom; Ko, Jungmin; Kang, Sean, Poly directional etch by oxidation.
  94. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  95. Zhang, Jingchun; Zhang, Hanshen, Procedure for etch rate consistency.
  96. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  97. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  98. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  99. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  100. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  101. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  102. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  103. Wang, Anchuan; Chen, Xinglong; Li, Zihui; Hamana, Hiroshi; Chen, Zhijun; Hsu, Ching-Mei; Huang, Jiayin; Ingle, Nitin K.; Lubomirsky, Dmitry; Venkataraman, Shankar; Thakur, Randhir, Processing systems and methods for halide scavenging.
  104. Naik, Mehul; Ma, Paul F.; Nemani, Srinivas D., Protective via cap for improved interconnect performance.
  105. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry, Radial waveguide systems and methods for post-match control of microwaves.
  106. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  107. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  108. Kobayashi, Satoru; Park, Soonam; Lubomirsky, Dmitry; Sugai, Hideo, Radial waveguide systems and methods for post-match control of microwaves.
  109. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  110. Chen, Zhijun; Zhang, Jingchun; Hsu, Ching-Mei; Park, Seung; Wang, Anchuan; Ingle, Nitin K., Radical-component oxide etch.
  111. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Remotely-excited fluorine and water vapor etch.
  112. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  113. Xu, Lin; Chen, Zhijun; Huang, Jiayin; Wang, Anchuan, Removal methods for high aspect ratio structures.
  114. Yang, Dongqing; Zhu, Lala; Wang, Fei; Ingle, Nitin K., Saving ion-damaged spacers.
  115. Chen, Zhijun; Huang, Jiayin; Wang, Anchuan; Ingle, Nitin, Selective SiN lateral recess.
  116. Wang, Xikun; Lei, Jianxin; Ingle, Nitin; Shaviv, Roey, Selective cobalt removal for bottom up gapfill.
  117. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  118. Ingle, Nitin K.; Kachian, Jessica Sevanne; Xu, Lin; Park, Soonam; Wang, Xikun; Anthis, Jeffrey W., Selective etch for metal-containing materials.
  119. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  120. Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Selective etch for silicon films.
  121. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  122. Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective etch of silicon by way of metastable hydrogen termination.
  123. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  124. Chen, Zhijun; Li, Zihui; Wang, Anchuan; Ingle, Nitin K.; Venkataraman, Shankar, Selective etch of silicon nitride.
  125. Citla, Bhargav; Ying, Chentsau; Nemani, Srinivas; Babayan, Viachslav; Stowell, Michael, Selective etch using material modification and RF pulsing.
  126. Wang, Xikun; Ingle, Nitin, Selective in situ cobalt residue removal.
  127. Hoinkis, Mark; Miyazoe, Hiroyuki; Joseph, Eric, Selective sputtering for pattern transfer.
  128. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and nitrogen.
  129. Wang, Yunyu; Wang, Anchuan; Zhang, Jingchun; Ingle, Nitin K.; Lee, Young S., Selective suppression of dry-etch rate of materials containing both silicon and oxygen.
  130. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  131. Liu, Jie; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K.; Park, Seung; Chen, Zhijun; Hsu, Ching-Mei, Selective titanium nitride etching.
  132. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  133. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K.; Lubomirsky, Dmitry, Selective titanium nitride removal.
  134. Wang, Xikun; Ingle, Nitin, Selective tungsten removal.
  135. Pandit, Mandar B.; Wang, Anchuan; Ingle, Nitin K., Self-aligned process.
  136. Arnepalli, Ranga Rao; Goradia, Prerna Sonthalia; Visser, Robert Jan; Ingle, Nitin; Korolik, Mikhail; Biswas, Jayeeta; Lodha, Saurabh, Self-limiting atomic thermal etching systems and methods.
  137. Lubomirsky, Dmitry; Chen, Xinglong; Venkataraman, Shankar, Semiconductor processing systems having multiple plasma configurations.
  138. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  139. Yang, Jang-Gyoo; Chen, Xinglong; Park, Soonam; Baek, Jonghoon; Garg, Saurabh; Venkataraman, Shankar, Semiconductor processing with DC assisted RF power for improved control.
  140. Nguyen, Andrew; Ramaswamy, Kartik; Nemani, Srinivas; Howard, Bradley; Vishwanath, Yogananda Sarode, Semiconductor system assemblies and methods of operation.
  141. Ko, Jungmin; Choi, Tom; Ingle, Nitin; Kim, Kwang-Soo; Wou, Theodore, SiN spacer profile patterning.
  142. Park, Seung; Wang, Anchuan, Silicon etch process with tunable selectivity to SiO2 and other materials.
  143. Korolik, Mikhail; Ingle, Nitin K.; Wang, Anchuan; Xu, Jingjing, Silicon germanium processing.
  144. Chen, Zhijun; Wang, Anchuan; Ingle, Nitin K., Silicon oxide selective removal.
  145. Huang, Jiayin; Chen, Zhijun; Wang, Anchuan; Ingle, Nitin, Silicon pretreatment for nitride removal.
  146. Li, Zihui; Hsu, Ching-Mei; Zhang, Hanshen; Zhang, Jingchun, Silicon selective removal.
  147. Chen, Zhijun; Zhang, Jingchun; Wang, Anchuan; Ingle, Nitin K., Silicon-carbon-nitride selective etch.
  148. Kim, Hun Sang; Choi, Jinhan; Koseki, Shinichi, Simplified litho-etch-litho-etch process.
  149. Luere, Olivier; Kang, Sean S.; Nemani, Srinivas D., Spacer formation.
  150. Ugajin, Hajime, Substrate etching method and system.
  151. Takasawa, Yushin; Karasawa, Hajime; Hirose, Yoshiro, Substrate processing apparatus capable of forming films including at least two different elements.
  152. Chiu, Yi-Wei; Wu, Meng-Chuan; Weng, Tzu-Chan, System and method for selective nitride etch.
  153. Benjaminson, David; Lubomirsky, Dmitry, Thermal management systems and methods for wafer processing systems.
  154. Wang, Xikun; Pandit, Mandar; Wang, Anchuan; Ingle, Nitin K., Titanium nitride removal.
  155. Wang, Xikun; Xu, Lin; Wang, Anchuan; Ingle, Nitin K., Titanium oxide etch.
  156. Liu, Jie; Wang, Xikun; Park, Seung; Korolik, Mikhail; Wang, Anchuan; Ingle, Nitin K., Tungsten oxide processing.
  157. Wang, Xikun; Liu, Jie; Wang, Anchuan; Ingle, Nitin K., Tungsten separation.
  158. Yang, Dongqing; Tang, Jing; Ingle, Nitin, Uniform dry etch in two stages.
  159. Berry, III, Ivan L.; Lill, Thorsten, Use of ion beam etching to generate gate-all-around structure.
  160. Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., V trench dry etch.
  161. Liu, Jie; Purayath, Vinod R.; Wang, Xikun; Wang, Anchuan; Ingle, Nitin K., Vertical gate separation.
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로