$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

전해 도금을 이용한 기가급 소자용 구리배선 공정
Cu Metallization for Giga Level Devices Using Electrodeposition 원문보기

전기화학회지 = Journal of the Korean Electrochemical Society, v.10 no.2, 2007년, pp.94 - 103  

김수길 (한국과학기술연구원 연료전지연구단) ,  강민철 (서울대학교 화학생물공학부) ,  구효철 (서울대학교 화학생물공학부) ,  조성기 (서울대학교 화학생물공학부) ,  김재정 (서울대학교 화학생물공학부) ,  여종기 (LG화학)

초록
AI-Helper 아이콘AI-Helper

반도체 소자의 고속화, 고집적화, 고신뢰성화에 대한 요구는 알루미늄 합금으로부터 구리로의 배선 물질의 변화를 유도하였다. 낮은 비저항과 높은 내열화성을 특징으로 하는 구리는 그 전기적, 재료적 특성이 알루미늄과 상이하여 배선 형성에 있어 새로운 주변 재료와 공법을 필요로 한다. 본 총설에서는 상감공정(damascene process)을 사용하는 다층 구리 배선 공정에 있어 핵심이 되는 구리 전해 도금(electrodeposition) 공정을 중심으로 확산 방지막(diffusion barrier) 및 도전층(seed layer), 바닥 차오름(bottom-up filling)을 위한 전해/무전해 도금용 유기 첨가제, 화학적 기계적 평탄화(chemical mechanical polishing) 및 표면 보호막(capping layer) 기술 등의 금속화 공정에 대한 개요와 개발 이슈를 소개하고 최근의 연구 결과를 통해 구리 배선 공정의 최신 연구 동향을 소개하였다.

Abstract AI-Helper 아이콘AI-Helper

The transition of interconnection metal from aluminum alloy to copper has been introduced to meet the requirements of high speed, ultra-large scale integration, and high reliability of the semiconductor device. Since copper, which has low electrical resistivity and high resistance to degradation, ha...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 총설에서는 구리 배선 공정에 있어 확산 방지막, 도전층, 전해/무전해 도금, 화학적 기계적 연마, 보호막 형성 등의 금속화 공정에 대한 개요와 연구 개발 이슈를 소개하고 최근의 연구 결과를 통해 구리 배선 공정의 최신 연구 동향을 소개하고자 한다.
본문요약 정보가 도움이 되었나요?

참고문헌 (74)

  1. J. G. Ryan., R. M. Geffken, N. R. Poulin, and J. R. Paraszczak, 'The Evolution of Interconnection Technology at IBM', IBM J. Res. Dev., 39, 371 (1995) 

  2. S. P. Murarka and S. W. Hymes, 'Copper Metallization for ULSI and Beyond', Crit. Rev. Solid State Mater. Sci., 20, 87 (1995) 

  3. International Technology Roadmap for Semiconductor-2005 Update, http://www.itrs.net 

  4. Y. Y. Wu, A. Kohn, and M. Eizsenberg, 'Structures of Ultra-Thin Atomic-Layer-Deposited TaNx Films', J. Appl. Phys., 95, 6167 (2004) 

  5. J.-S. Park, S.-W. Kang, and H. Kim, 'Growth Mechanism and Diffusion Barrier Property of Plasma-Enhanced Atomic Layer Deposition Ti-Si-N Thin Films', J. Vac. Sci. Technol. B, 24, 1327 (2006) 

  6. K.-S. Kim, M.-S. Lee, S.-S. Yim, H.-M. Kim, K.-B. Kim, H.-S. Park, W. Koh, W.-M. Li, M. Stokhof, and H. Sprey, 'Evaluation of Integrity and Barrier Performance of Atomic Layer Deposited $WN_{x}C_{y}$ Films on Plasma Enhanced Chemical Vapor Deposited $SiO_{2}$ for Cu Metallization', Appl. Phys. Lett., 89, 081913-1 (2006) 

  7. C. W. Lee, and Y. T. Kim, 'Effects of $NH_{3}$ Pulse Plasma on Atomic Layer Deposition of Tungsten Nitride Diffusion Barrier', J. Vac. Sci. Technol. B, 24, 1432 (2006) 

  8. S. Rawal, D. P. Norton, H. Ajmera, T. J. Anderson, and L. McElwee-White, 'Properties of Ta-Ge-(O)N as a Diffusion Barrier for Cu on Si', Appl. Phys. Lett., 90, 051913-1 (2007) 

  9. B. R. Murthy, W. M. Yee, A. Krishnamoorthy, R. Kumar, and D. C. Frye, 'Self-Assembled Monolayers as Cu Diffusion Barriers for Ultralow-k Dielectrics', Electrochem. Solid-State Lett., 9, F61 (2006) 

  10. C.-C. Chang, S.-K. JangJian, and J. S. Chen, 'Dependence of Cu/TaN/Ta Metallization Stability on the Characteristics of Low Dielectric Constant Materials', J. Electrochem. Soc., 152, G517 (2005) 

  11. R. Chan, T. N. Arunagiri, Y. Zhang, O. Chyan, R. M. Wallace, M. J. Kim, and T. Q. Hurd, 'Diffusion Studies of Copper on Ruthenium Thin Film', Electrochem. Solid-State Lett., 7, G154 (2004) 

  12. S. K. Cho, S.-K. Kim, H. Han, J. J. Kim, and S. M. Oh, 'Damascene Cu Electrodeposition on Metal Organic Chemical Vapor Deposition-Grown Ru Thin Film Barrier', J. Vac. Sci. Technol. B, 22, 2649 (2004) 

  13. J. Reid, 'Copper Electrodeposition: Principles and Recent Progress', Jpn. J. Appl. Phys., 40, 2650 (2001) 

  14. W. H. Lee, Y. K. Ko, I. J. Byun, B. S. Seo, J. G. Lee, P. J. Reucroft, J. U. Lee, and J. Y. Lee, 'Chemical Vapor Deposition of an Electroplating Cu Seed Layer Using Hexafluoroacetylacetonate Cu (1,5-dimethylcyclooctadiene)', J. Vac. Sci. Technol. A, 19, 2974 (2001) 

  15. Y. S. Diamand, V. Dubin, and M. Angyal, 'Electroless Copper Deposition for ULSI', Thin Solid Films, 262, 93 (1995) 

  16. K. Weiss, S, Riedel, S. E. Schulz, M. Schwerd, H. Helneder, H. Wendt, and T. Gessner, 'Development of Different Copper Seed Layers with Respect to the Copper Electroplating Process', Microelectron. Eng., 50, 433 (2000) 

  17. C. Jezewski, W. A. Lanford, C. J. Wiegand, J. P. Singh, P.-I. Wang, J. J. Senkevich, and T.-M. Lu, 'Inductively Coupled Hydrogen Plasma-Assisted Cu ALD on Metallic and Dielectric Surfaces', J. Electrochem. Soc., 152, C60 (2005) 

  18. S.-K. Kim, S. K. Cho, J. J. Kim, and Y.-S. Lee, 'Superconformal Cu Electrodeposition on Various Substrates', Electrochem. Solid-State Lett., 8, C19 (2005) 

  19. A. Radisic, Y. Cao, P. Taephaisitphongse, A. C. West, and P. C. Searson, 'Direct Copper Electrodeposition on TaN Barrier Layers', J. Electrochem. Soc., 150, C362 (2003) 

  20. J. J. Kim, S.-K. Kim, and Y. S. Kim, 'Direct Plating of Low Resistivity Bright Cu Film onto TiN Barrier Layer via Pd Activation', J. Electrochem. Soc., 151, C97 (2004) 

  21. O. Chyan, T. N. Arunagiri, and T. Ponnuswamy, 'Electrodeposition of Copper Thin Film on Ruthenium; A Potential Diffusion Barrier for Cu Interconnects', J. Electrochem. Soc., 150, C347 (2003) 

  22. T. P. Moffat, M. Walker, P. J. Chen, J. E. Bonevich, W. F. Egelhoff, L. Richter, C. Witt, T. Aaltonen, M. Ritala, M. Leskela, and D. Josell, 'Electrodeposition of Cu on Ru Barrier Layers for Damascene Processing', J. Electrochem. Soc., 153, C37 (2006) 

  23. D. Josell, C. Witt, and T. P. Moffat, 'Osmium Barriers for Direct Copper Electrodeposition in Damascene Processing', Electrochem. Solid-State Lett., 9, C41 (2006) 

  24. D. Josell, J. E. Bonevich, T. P. Moffat, T. Aaltonen, M. Ritala, and M. Leskela, 'Iridium Barriers for Direct Copper Electrodeposition in Damascene Processing', Electrochem. Solid-State Lett., 9, C48 (2006) 

  25. J. O. Dukovic, in: H. Gerischer, C. W. Tobias (Eds.), 'Advances in Electrochemical Science and Engineering', vol. 3, VCH, Weinheim, 1994, p. 121 

  26. M. Datta and D. Landolt, 'Fundamental Aspects and Applications of Electrochemical Microfabrication', Electrochim. Acta, 45, 2535 (2000) 

  27. M. Paunovic and M. Schlesinger, 'Fundamentals of Electrochemical Deposition', John Wiley & Sons Inc., NY, 1998, p.182 

  28. K. M. Takahashi and M. E. Gross, 'Transport Phenomena That Control Electroplated Copper Filling of Submicron Vias and Trenches', J. Electrochem. Soc., 146, 4499 (1999) 

  29. S.-K. Kim, 'Advanced Metallization for High Performance Devices Using Superconformal Cu Electrodeposition', Ph.D. Thesis, Seoul National University (2004) 

  30. A. C. West, 'Theory of Filling of High-Aspect Ratio Trenches and Vias in Presence of Additives', J. Electrochem. Soc., 147, 227 (2000) 

  31. S.-Y. Chiu, J.-M. Shieh, S.-C. Chang, K.-C. Lin, B.-T. Dai, C.-F. Chen, and M.-S. Feng, 'Characterization of Additive Systems for Damascene Cu Electroplaing by the Superfilling Profile Monitor', J. Vac. Sci. Technol. B, 18, 2835 (2000) 

  32. J. J. Kim, S.-K. Kim, and Y. S. Kim, 'Catalytic Behavior of 3-Mercapto-1-Propane Sulfonic Acid on Cu Electrodeposition and Its Effect on Cu Film Properties for CMOS Device Metallization', J. Electroanal. Chem., 542, 61 (2003) 

  33. S.-K. Kim and J. J. Kim, 'Superfilling Evolution in Cu Electrodeposition; Dependence on the Aging Time of the Accelerator', Electrochem. Solid-State Lett., 7, C98 (2004) 

  34. A. Frank and A. J. Bard, 'The Decomposition of the Sulfonate Additive Sulfopropyl Sulfonate in Acid Copper Electroplating Chemistries', J. Electrochem. Soc., 150, C244 (2003) 

  35. T. P. Moffat, D. Wheeler, M. D. Edelstein, and D. Josell, 'Superconformal Film Growth: Mechanism and Quantification', IBM J. Res. & Dev., 49, 19 (2005) 

  36. J. Reid and S. Mayer, 'Factors Influencing Fill of IC Features Using Electroplated Copper', in Proceedings of Advanced Metallization Conference, p. 53, Orland, Florida (1999) 

  37. T. P. Moffat, J. E. Bonevich, W. H. Huber, A. Stanishevsky, D. R. Kelly, G. R. Stafford, and D. Josell, 'Superconformal Electrodeposition of Copper in 500-90 nm Features', J. Electrochem. Soc., 147, 4524 (2000) 

  38. D. Josell, D. Wheeler, W. H. Huber, and T. P. Moffat, 'Superconformal EJectrodeposition in Submicron Features', Phys. Rev. Lett., 87, 016102 (2001) 

  39. Y. Cao, P. Taephaisitphongse, R. Chalupa, and A. C. West, 'Three-Additive Model of Superfilling of Copper', J. Electrochem. Soc., 148, C466 (2001) 

  40. W. C. West, S. Mayer, and J. Reid, 'A Superfilling Model that Predicts Bump Formation', Electrochem. Solid-State Lett., 4, C50 (2001) 

  41. D. Josell, B. Baker, C. Witt, D. Wheeler, and T. P. Moffat, 'Via Filling by Electrodeposition', J. Electrochem. Soc., 149, C637 (2002) 

  42. D. Josell, D. Wheeler, and T. P. Moffat, 'Superconformal Electrodeposition in Vias', Electrochem. Solid-State Lett., 5, C49 (2002) 

  43. T. P. Moffat, D. Wheeler, C. Witt, and D. Josell, 'Superconformal Electrodeposition Using Derivitized Substrates', Electrochem. Solid-State Lett., 5, C110 (2002) 

  44. D. Josell, D. Wheeler, W. H. Huber, J. E. Bonevich, and T. P. Moffat, 'A Simple Equation for Predicting Superconformal Electrodeposition in Submicrometer Trenches', J. Electrochem. Soc., 148, C767 (2001) 

  45. T. P. Moffat, D. Wheeler, W. H. Huber, and D. Josell, 'Superconformal Electrodeposition of Copper', Electrochem. Solid-State Lett., 4, C26 (2001) 

  46. S. K. Cho, S.-K. Kim, and J. J. Kim, 'Superconformal Cu Electrodeposition Using DPS; A Substitute Accelerator for Bis(3-sulfopropyl) Disulfide', J. Electrochem. Soc., 152, C330 (2005) 

  47. J. J. Kelly, C. Tian, and A. C. West, 'Leveling and Microstructural Effects of Additives for Copper Electrodeposition', J. Electrochem. Soc., 146, 2540 (1999) 

  48. W.-P. Dow and C.-W. Liu, 'Evaluating the Filling Performance of a Copper Plating Formula Using a Simple Galvanostat Method', J. Electrochem. Soc., 153, C190 (2006) 

  49. J. Reid and J. Zhou, 'Leveler Molecular Weight and Concentration Impact on Damascene Copper Electroplating Bath Electrochemical Behavior and Film Properties', 209th Electrochemical Society Meeting, Abstract #422, May7-12, Denver, Colorado (2006) 

  50. S.-K. Kim, D. Josell, and T. P. Moffat, 'Cationic Surfactants for the Control of Overfill Bumps in Cu Superfilling', J. Electrochem. Soc., 153, C826 (2006) 

  51. S.-K. Kim, D. Josell, and T. P. Moffat, 'Electrodeposition of Cu in the PEI-PEG-CI-SPS Additive System; Reduction of Overfill Bump Formation During Superfilling', J. Electrochem. Soc., 153, C616 (2006) 

  52. C. H. Lee, S. H. Cha, A. R. Kim, J.-H. Hong, and J. J. Kim, 'Optimization of a Pretreatment for Copper Electroless Deposition on Ta Substrates', J. Electrochem. Soc., 154, D182 (2007) 

  53. P. P. Lau, C. C. Wong, and L. Chan, 'Improving Electroless Cu Via Filling, with Optimized Pd Activation', Appl. Sur. Sci., 253, 2357 (2006) 

  54. Y.-S. Kim, G. A. T. Eyck, D. Ye, C. Jezewski, T. Karabacak, H.-S. Shin, J. J. Senkevich, and T.-M. Lu, 'Atomic Layer Deposition of Pd on TaN for Cu Electroless Plating', J. Electrochem. Soc., 152, C376 (2005) 

  55. S. Shingubara, Z. Wang, O. Yaegashi, R. Obata, H. Sakaue, and T. Takahagi, 'Bottom-Up Fill of Copper in Deep Submicrometer Holes by Electroless Plating', Electrochem. Solid-State Lett., 7, C78 (2004) 

  56. Z. Wang, Z. Liu, H. Jiang, and X. W. Wang, 'Bottom-up Fill Mechanisms of Electroless Copper Plating with Addition of Mercapto Alkyl Carboxylic Acid', J. Vac. Sci. Technol. B, 24, 803 (2006) 

  57. C. H. Lee, S. C. Lee, and J. J. Kim, 'Bottom-up Filling in Cu Electroless Deposition Using Bis-(3-sulfopropyl)-sidulfide (SPS)', Electrochim. Acta, 50, 3563 (2005) 

  58. C. H. Lee, S. C. Lee, and J. J. Kim, 'Improvement of Electrolessly Gap-Filled Cu Using 2, 2' -Dipyridyl and Bis-(3-sulfopropyl)disulfide (SPS)', Electrochem. Solid-State Lett., 8, C110 (2005) 

  59. C. H. Lee, S. K. Cho, and J. J. Kim, 'Electroless Cu Bottom-Up Filling Using 3-N,N-dimethylaminodithiocarbamoyl-l-propanesulfonic Acid', Electrochem. Solid-State Lett., 8, J27 (2005) 

  60. M. Hasegawa, Y. Okinaka, Y. Shacham-Diamand, and T. Osaka, 'Void-Free Trench-Filling by Electroless Copper Deposition Using the Combination of Accelerating and Inhibiting Additives', Electrochem. Solid-State Lett., 9, C138 (2006) 

  61. D. DeNardis, D. Rosales-Yeomans, L. Borucki, and A. Philipossian, 'Chracterization of Copper-Hydrogen Peroxide Film Growth Kinetics', Thin Solid Films, 513, 311 (2006) 

  62. T.-H. Tsai, Y.-F. Wu, and S.-C. Yen, 'A Study of Copper Chemical Mechanical Polishing in Urea-Hydrogen Peroxide Slurry by Electrochemical Impedance Spectroscopy', Appl. Surf. Sci., 214, 120 (2003) 

  63. S. Kondo, N. Sakuma, Y. Homma, and N. Ohashi, 'Slurry Chemical Corrosion and Galvanic Corrosion During Copper Chemical Mechanical Polishing', Jpn. J. Appl. Phys., 39, 6216 (2000) 

  64. J.-W. Lee, M.-C. Kang and J. J. Kim, 'Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing', J. Electrochem. Soc., 152, C827 (2005) 

  65. S. Pandija, D. Roy, and S. V. Babu, 'Chemical Mechanical Planarization of Copper Using Abrasive-Free Solutions of Oxalic Acid and Hydrogen Peroxide', Mat. Chem. Phys., in press 

  66. S. Kondo, N. Sakuma, Y. Homma, Y. Goto, N. Ohashi, H. Yamaguchi, and N. Owada, 'Abrasive-Free Polishing for Copper Damascene Interconnection', J. Electrochem. Soc., 147, 3907 (2000) 

  67. S. Balakumar, X. T. Chen, Y. W. Chen, T. Selvaraj, B. F. Lin, R. Kumar, T. Hara, M Fujimoto, and Y. Shimura, 'Peeling and Delamination in CU/ $SiLK^{TM}$ Process During Cu-CMP', Thin Solid Films, 161 (2004) 

  68. W. Shao, S. G. Mihaisalkar, T. Sritharan, A. V. Vairagar, H. J. Engelmann, O. Aubel, E. Zschech, A. M. Gusak, and K. N. Tu, 'Direct Evidence of Cu/Cap/Liner Edge Being the Dominant Electromigration Path in Dual Damascene Cu Interconnects', Appl. Phys. Lett., 90, 052106-1 (2007) 

  69. H. Nakano, T. Itabashi, and H. Akahoshi, 'Electro less Deposited Cobalt-Tungsten-Boron Capping Barrier Metal on Damascene Copper Interconnection', J. Electrochem. Soc., 152, C163 (2005) 

  70. S. Y. Chang, C. C. Wan, Y. Y. Wang, C. H. Shih, M. H. Tsai, S. L. Shue, C. H. Yu, and M. S. Liang, 'Characterization of Pd-Free Electroless Co-Based Cap Selectively Deposited on Cu Surface Via Borane-Based Reducing Agent', Thin Solid Films, 515, 1107 (2006) 

  71. C.-K. Hu, L. Gignac, R. Rosenberg, E. Liniger, J. Rubino, C. Sambucetti, A. Domenicucci, X. Chen, and A. K. Stamper, 'Reduced Electromigration of Cu Wires by Surface Coating', Appl. Phys. Lett., 81, 1782 (2002) 

  72. H. Einati, V. Bogush, Y. Sverdlov, Y. Rosenverg, and Y. Shacham-Diamand, 'The Effect of Tungsten and Boron on the Cu Barrier and Oxidation Properties of Thin Electroless Cobalt-Tungsten-Boron Films', Microelectron. Eng., 82, 623 (2005) 

  73. J. J. Kim, Y. S. Kim, and S.-K. Kim, 'Oxidation Resistive Cu Films by Room Temperature Surface Passivation with Thin Ag Layer', Electrochem. Solid-State Lett., 6, C17 (2003) 

  74. J. P. Gambino, C. L. Johnson, J. E. Therrien, D. B. Hunt, J. E. Wynne, S. Smith, S. A. Mongeon, D. P. Pokrinchak, and T. M Levin, 'Stress Migration Lifetime for Cu Interconnects With CoWP-Only Cap', IEEE Trans. Device Mater. Rel., 6, 197 (2006) 

저자의 다른 논문 :

LOADING...

관련 콘텐츠

오픈액세스(OA) 유형

BRONZE

출판사/학술단체 등이 한시적으로 특별한 프로모션 또는 일정기간 경과 후 접근을 허용하여, 출판사/학술단체 등의 사이트에서 이용 가능한 논문

유발과제정보 저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로