$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

압축 기반 상변화 메모리 시스템에서 저장 위치를 고려한 하이브리드 SLC/MLC 관리 기법
Location-Aware Hybrid SLC/MLC Management for Compressed Phase-Change Memory Systems 원문보기

대한임베디드공학회논문지 = IEMEK Journal of embedded systems and applications, v.11 no.2, 2016년, pp.107 - 116  

박재현 (Arizona State University) ,  이형규 (Daegu University)

Abstract AI-Helper 아이콘AI-Helper

Density of Phase-Change Memory (PCM) devices has been doubled through the employment of multi-level cell (MLC) technology. However, this doubled-capacity comes in the expense of severe performance degradation, as compared to the conventional single-level cell (SLC) PCM. This negative effect on the p...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 본 논문에서 제안한 기법을 평가하기 위하여 메모리 트레이스(Memory Trace) 기반의 시뮬레이터를 개발하였다. 본 실험에서 사용된 메모리 트레이스는 Simics라는 시스템 수준의 시뮬레이터에서 실제 응용 프로그램을 수행하면서 추출하였다 [11].
  • 두 가지 형태의 상변화 메모리의 장점만을 취하기 위하여 압축 기법을 활용하고 특정 MLC 상변화 메모리를 SLC 상변화 메모리처럼 활용하여 SLC 상변화 메모리의 성능과 MLC 상변화 메모리의 저장 용량을 동시에 가진 메모리 시스템 관리 기법이 제안된 바 있다 [8]. 본 논문에서는 [8]에서 제시된 기법을 기반으로 저장 위치를 고려하고 압축과 정에서 발생하는 인접 메모리 주소의 유휴 공간을 이용하여 상변화 메모리 시스템의 성능을 더욱 향상시키는 기법을 제안하고자 한다.
  • 상변화 메모리는 MLC 기술로 인해 저장 용량을 2배 이상 늘리는 것이 가능해졌지만 이 경우 SLC 상변화 메모리에 비해 응답시간과 에너지 사용량이 늘어나는 단점이 있다. 본 논문에서는 압축 기반의 상변화 메모리 시스템에서 저장 위치를 고려한 SLC/MLC 하이브리드 관리 기법을 제안하였다. 본 논문에서 제안한 기법은 큰 추가 부하 없이 시스템의 수행시간과 에너지 소모량을 감소시켰으며 실제 응용들에서 추출한 트레이스를 이용한 트레이스 기반 시뮬레이션 결과 하이브리드 SLC/MLC 관리 기법 없이 압축만 적용한 시스템 대비 수행시간은 평균 45.

가설 설정

  • 그림 1은 본 논문에서 제안하는 기법의 상위 수준의 동작 방식을 보여주고 있다. 그림 1에서 표현된 것과 같이 메인 메모리는 n 바이트의 기본 단위로 하는 MLC 상변화 메모리를 기준으로 주소가 부여되어 있다고 가정한다. n 바이트는 메인 메모리의 기본 접근 단위인 최하위 계층 캐쉬(Last-level cache, LLC)의 캐쉬라인 크기와 동일하다.
  • n 바이트는 메인 메모리의 기본 접근 단위인 최하위 계층 캐쉬(Last-level cache, LLC)의 캐쉬라인 크기와 동일하다. 본 논문에서는 1개의 상변화 메모리 소자를 이용하여 2비트를 표현하는 2비트 MLC 상변화 메모리를 가정하였다. 하지만 본 논문에서 제안한 기법은 3비트 이상을 표현하는 MLC(또는 TLC라고도 불림)상변화 메모리에도 적용 가능하다.
  • 본 기법을 지원하기 위해 추가적으로 필요한 태그 메모리의 용량은 메인 메모리의 용량과 압축에 사용되는 기본 단위 크기에 의해 결정된다. 본 논문에서는 총 4 GB의 메인 메모리를 가정하였으며 압축의 단위는 캐쉬라인의 크기인 64 바이트로 가정하였다. 이 경우 태그 메모리를 구현하기 위해 24 MB의 메모리가 추가적으로 필요지만 메모리 접근의 기본 단위 크기가 커질 경우 태그메모리에 필요한 용량은 감소한다.
  • 상변화 메모리는 읽고 쓸 때 SLC 모드와 MLC 모드로 동적으로 변경하는 것을 지원하여야 한다. 이를 위해 본 논문에서는 기존 논문 [6]에서와 같이 쓰기 과정에서 SLC 모드와 MLC 모드로 SET 동작, RESET 동작, 부분 SET 동작을 지원하고 dual mode sense amplifier를 이용하여 SLC 모드와 MLC 모드의 읽기가 가능한 상변화 메모리를 가정하였다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
상변화 메모리에 MLC기술을 적용하여 무엇이 가능한가? 최근 많은 연구들에서 Multi-Level Cell (MLC) 상변화 메모리도 가능함을 보였다 [3, 5]. 상변화 메모리에 MLC기술을 적용함으로서 상변화 메모리의 용량을 2배 또는 4배까지 증가시키는 것이 가능해졌다. 하지만, MLC 상변화 메모리는 기존의 Single-Level Cell (SLC) 상변화 메모리에 비해 응답시간(Latency)이 느리고 에너지 소모량이 많은 단점을 가지고 있다.
MLC 상변화 메모리의 단점은 무엇인가? 상변화 메모리에 MLC기술을 적용함으로서 상변화 메모리의 용량을 2배 또는 4배까지 증가시키는 것이 가능해졌다. 하지만, MLC 상변화 메모리는 기존의 Single-Level Cell (SLC) 상변화 메모리에 비해 응답시간(Latency)이 느리고 에너지 소모량이 많은 단점을 가지고 있다. 따라서 MLC 상변화 메모리의 장점인 큰 용량을 살리면서 성능을 보완할 방법이 필요하다.
SLC모드를 사용하면 MLC 형태로 읽고 쓰는 방식에 비해 데이터를 수행시간과 에너지 소모량이 모두 감소하는 이유는 무엇인가? MLC기반의 상변화 메모리라 할지라도 저장 공간이 충분할 경우 2비트를 저장할 수 있는 MLC의 공간에 SLC 모드처럼 1비트만 데이터만을 저장할 경우 일반적인 SLC 상변화 메모리와 같은 속도로 데이터를 읽고 쓸 수 있다 [6]. 이 경우 MLC 형태로 데이터를 읽고 쓰는 방식에 비해 수행시간과 에너지 소모량이 모두 감소한다.
질의응답 정보가 도움이 되었나요?

참고문헌 (12)

  1. H.G. Lee, C. Lee, "Enhancing Flash Memory-based Storage Using Next Generation Non-Volatile Memory Devices," Communications of the Korea Information Science Society, Vol. 27, No. 5, pp. 47-57, 2009 (in Korean). 

  2. R.F. Freitas, W.W. Wilcke, "Storage-class memory: The next storage system technology." IBM Journal of Research and Development, Vol. 52, No. 4/5, pp. 439-447, 2008. 

  3. T. Nirschl, J.B. Phipp, T.D. Happ, G.W. Burr, B. Rajendran, M.H. Lee, A. Schrott, M. Yang, M. Breitwisch, C.F. Chen, E. Joseph, M. Lamorey, R. Cheek, S.H. Chen, S. Zaidi, S. Raoux, Y.C. Chen, Y. Zhu, R. Bergmann, H.L. Lung, C. Lam, "Write strategies for 2 and 4-bit multi-level phase-change memory," Proceedings of the IEEE International Electron Device Meeting, pp. 461-464, 2007. 

  4. Y. Choi, I. Song, M.H. Park, H. Chung, S. Chang, B. Cho, J. Kim, Y. Oh, D. Kwon, S. Jung, J. Shin, Y. Rho, C. Lee, M.G. Kang, J. Lee, Y. Kwon, S. Kim, J. Kim, Y.J. Lee, Q. Wang, S. Cha, S. Ahn, H. Horii, J. Lee, K. Kim, H. Joo, K. Lee, Y.T. Lee, J. Yoo, G. Jeong "A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidth," Proceedings of IEEE International Solid-State Circuits Conference, pp. 46-48, 2012. 

  5. D.H. Kang, J.H. Lee, J.H. Kong, D. Ha, J. Yu, C.Y. Um, J.H. Park, F. Yeung, J.H. Kim, W.I. Park, Y.J. Jeon, M.K. Lee, J.H. Park, Y.J. Song, J.H. Oh, G.T. Jeong, H.S. Jeong, "Two-bit cell operation in diode-switch phase change memory cells with 90nm technology," Proceedings of the Symposium on VLSI Technology, pp. 10-12, 2008. 

  6. X. Dong, Y. Xie, "Adams: adaptive MLC/SLC phase-change memory design for file storage," Proceedings of Asia and South Pacific Design Automation Conference, pp. 31-36, 2011. 

  7. M.K. Qureshi, M.M. Franceschini, L.A. Lastras-Montano, "Morphable memory system: a roust architecture for exploiting multi-level phase change memories," Proceedings of the 37th annual international Symposium on Computer Architecture, pp. 154-162, 2010. 

  8. H.G. Lee, S. Baek, J. Kim, "A compression-based hybrid MLC/SLC management technique for phase-change memory systems," Proceedings of IEEE Computer Society Annual Symposium on VLSI, pp. 386-391, 2012. 

  9. S. Raoux, G.W. Burr, M.J. Breitwisch, C.T. Rettner, Y.C. Chen, R.M. Shelby, M. Salinga, D. Krebs, S.H. Chen, H.L. Lung, C.H. Lam, "Phase-change random access memory: a scalable technology," IBM Journal of Research and Development, Vol. 52, No. 4/5, pp. 465-479, 2008. 

  10. A.R. Alameldeen, D.A. Wood, "Frequent pattern compression: a significant-based compression scheme for L2 caches," Technical Report 1500, Computer Sciences Department, 2004. 

  11. P.S. Magnsusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, B. Werner, "Simics: a full system simulation platform," Computer, Vol. 35, No. 2, pp. 50-58, 2002. 

  12. C. Bienia, S. Kumar, J. P. Singh, K. Li, "The PARSEC benchmark suite: characterization and architecture implications," Proceedings of the 17th International Conference on Parallel Architectures and Compilation Technique, pp. 50-58, 2002. 

저자의 다른 논문 :

LOADING...

관련 콘텐츠

오픈액세스(OA) 유형

BRONZE

출판사/학술단체 등이 한시적으로 특별한 프로모션 또는 일정기간 경과 후 접근을 허용하여, 출판사/학술단체 등의 사이트에서 이용 가능한 논문

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로