$\require{mediawiki-texvc}$

연합인증

연합인증 가입 기관의 연구자들은 소속기관의 인증정보(ID와 암호)를 이용해 다른 대학, 연구기관, 서비스 공급자의 다양한 온라인 자원과 연구 데이터를 이용할 수 있습니다.

이는 여행자가 자국에서 발행 받은 여권으로 세계 각국을 자유롭게 여행할 수 있는 것과 같습니다.

연합인증으로 이용이 가능한 서비스는 NTIS, DataON, Edison, Kafe, Webinar 등이 있습니다.

한번의 인증절차만으로 연합인증 가입 서비스에 추가 로그인 없이 이용이 가능합니다.

다만, 연합인증을 위해서는 최초 1회만 인증 절차가 필요합니다. (회원이 아닐 경우 회원 가입이 필요합니다.)

연합인증 절차는 다음과 같습니다.

최초이용시에는
ScienceON에 로그인 → 연합인증 서비스 접속 → 로그인 (본인 확인 또는 회원가입) → 서비스 이용

그 이후에는
ScienceON 로그인 → 연합인증 서비스 접속 → 서비스 이용

연합인증을 활용하시면 KISTI가 제공하는 다양한 서비스를 편리하게 이용하실 수 있습니다.

TSV 기반 3차원 소자의 열적-기계적 신뢰성
Thermo-Mechanical Reliability of TSV based 3D-IC 원문보기

마이크로전자 및 패키징 학회지 = Journal of the Microelectronics and Packaging Society, v.24 no.1, 2017년, pp.35 - 43  

윤태식 (한국과학기술원 기계공학과) ,  김택수 (한국과학기술원 기계공학과)

Abstract AI-Helper 아이콘AI-Helper

The three-dimensional integrated circuit (3D-IC) is a general trend for the miniaturized and high-performance electronic devices. The through-silicon-via (TSV) is the advanced interconnection method to achieve 3D integration, which uses vertical metal via through silicon substrate. However, the TSV ...

주제어

AI 본문요약
AI-Helper 아이콘 AI-Helper

* AI 자동 식별 결과로 적합하지 않은 문장이 있을 수 있으니, 이용에 유의하시기 바랍니다.

문제 정의

  • 먼저 비아 수준에서 TSV 소자의 설계 및 최적화 방안들에 대하여 살펴보고자 한다. 앞서 살펴보았듯이, 비아에서 절연층과 구리 사이에 높은 응력이 형성되며 이는 계면 균열 및 sliding 을 야기한다.
  • 본 논문에서는 TSV 기반 3차원 소자의 열적-기계적 신뢰성에 대하여 다루어 보았다. TSV 소자의 공정 및 동작 시 온도 변화가 가해지며, 이때 실리콘과 비아의 열-기계적 물성 차이에 기인하여 응력 및 변형이 생긴다.
  • 하지만 기존 2차원 대비 복잡한 3차원 적층 구조로 인하여 다양한 공정, 기계적, 열적, 전기적 이슈가 발생되고 있으며 이로 인하여 수율 및 신뢰성이 심각하게 저해되고 있다. 본 연구에서는 특히 열적-기계적 신뢰성 관점에서의 TSV 기반 3차원 소자를 다루고자 한다.
  • TSV 를 전자소자에 적용시키기 위해서는 이러한 신뢰성 문제들을 충분히 고려하여 설계를 하여야 하며, 더 높은 성능 신뢰성을 가질 수 있도록 최적화 하여야 한다. 본 챕터에서는 TSV 소자의 설계 및 최적화를 할 수 있는 방안들에 대하여 알아보고자 하며, 비아 수준 및 패키징 수준에서 접근하고자 한다.
  • 온도 변화에 따른 열 하중 및 재료의 열적-기계적 물성 차이에 기인하여 TSV 소자에 높은 응력 및 변형이 가해지게 된다. 이러한 열 응력으로 인하여 소자의 기계적, 열적, 전기적 신뢰성33) 이 크게 저해되고 있으며, 이들 문제들을 다루고자 한다.
  • 이제까지 3차원 TSV 소자의 열-기계적 신뢰성을 다루어 보았다. TSV 를 전자소자에 적용시키기 위해서는 이러한 신뢰성 문제들을 충분히 고려하여 설계를 하여야 하며, 더 높은 성능 신뢰성을 가질 수 있도록 최적화 하여야 한다.

가설 설정

  • Microbump를 포함하는 패키징 수준에서 underfill 에폭시를 이용하여 creep 변형 에너지를 감소시킬 수 있다.20) SiP 소자에서 열 전도 및 방열을 효율적으로 하기 위해서는 각 칩의 발열 및 방열 특성을 고려한 칩 적층이 필수적이다.38) 열-기계적 신뢰성을 예측하기 위한 유한요소해석시, 전기 도금 두께의 불균일 고려26,39) 및 전체 패키징 수준에서의 해석21,40) 또한 수행되어야 한다.
본문요약 정보가 도움이 되었나요?

질의응답

핵심어 질문 논문에서 추출한 답변
3차원 적층된 칩의 장점은? 최근 고 집적회로를 만들기 위하여, 칩을 3차원으로 적층하는 방법이 활발히 연구 및 적용 되고 있다. 이러한 3차원 적층된 칩의 경우, 단위 면적당 집적도를 적층 수에 비례하여 올릴 수 있으며, 전기적으로는 칩 간의 인터커넥션 길이가 짧아지게 되어 신호 및 전력의 효율적인 전달이 가능하다. 칩의 3차원 적층을 위하여 와이어 본딩1,2), 플립 칩3,4) side termination5) 등의 기술을 사용하며, 최근에는 TSV(Through Silicon Via)기술이 주목받고 있으며 중점적으로 개발되고 있다.
칩의 3차원 적층에 사용되는 기술은? 이러한 3차원 적층된 칩의 경우, 단위 면적당 집적도를 적층 수에 비례하여 올릴 수 있으며, 전기적으로는 칩 간의 인터커넥션 길이가 짧아지게 되어 신호 및 전력의 효율적인 전달이 가능하다. 칩의 3차원 적층을 위하여 와이어 본딩1,2), 플립 칩3,4) side termination5) 등의 기술을 사용하며, 최근에는 TSV(Through Silicon Via)기술이 주목받고 있으며 중점적으로 개발되고 있다.
비아가 형성된 칩 들을 3차원으로 적층하기 위한 접합 공정에는 어떤 접합이 적용되고 있는가? 비아가 형성된 칩 들을 3차원으로 적층하기 위해서 접합 공정이 필요하다. 실리콘 산화물을 이용한 oxide 접합, 구리 및 주석을 이용한 metal-metal 접합, 고분자 접착제를 이용한 polymer 접합 등이 적용되고 있다. 칩의 박막화 시에는 Wet-etching, Grinding, CMP(Chemical Mechanical Polishing) 등의 기술이 적용된다.
질의응답 정보가 도움이 되었나요?

참고문헌 (40)

  1. F. Carson, H. T. Lee, J. H. Yee, J. Punzalan, and E. Fontanilla, "Die to die copper wire bonding enabling low cost 3D packaging", 2011 IEEE 61st. ECTC, 1502, (2011). 

  2. K. M. Brown, "System in package 'the rebirth of SIP'", Proc. IEEE 2004 CICC, 681, (2004). 

  3. T. Iwasaki, M. Watanabe, S. Baba, Y. Hatanaka, S. Idaka, Y. Yokoyama, and M. Kimura, "Development of 30 micron pitch bump interconnections for COC-FCBGA", 2006 Proc. 56th. ECTC, 1216, (2006). 

  4. R. Chaware, K. Nagarajan, and S. Ramalingam, "Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer", 2012 IEEE 62nd. ECTC, 279, (2012). 

  5. K. D. Gann, "High density packaging of flash memory", Seventh Biennial IEEE Int. NVMT Confernce Proc., 96, (1998). 

  6. J. H. Lau, "Overview and outlook of through-silicon via (TSV) and 3D integrations", Microelectron. Int. 28, 8, (2011). 

  7. M. Motoyoshi, "Through-silicon via (TSV)", Proc. IEEE, 97, 43, (2009). 

  8. K. Takahashi, and M. Sekiguchi, "Through silicon via and 3- D wafer/chip stacking technology", 2006 Symp. VLSI Circuits Dig. Tech. Paper, 89, (2006). 

  9. P. Ramm, M. J. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel, and H. Reichl, "Through silicon via technology-processes and reliability for wafer-level 3D system integration", 2008 58th. ECTC, 841, (2008) 

  10. M. Park, S. Kim, and S. E. Kim, "TSV Liquid Cooling System for 3D Integrated Circuits", J. Microelectron. Packag. Soc., 20, 1, (2013). 

  11. J. V. Olmen, A. Mercha, G. Katti, C. Huyghebaert, J. V. Aelst, E. Seppala, Z. Chao, S. Armini, J. Vaes, R. C. Teixeira, M. V. Cauwenberghe, P. Verdonck, K. Verhemeldonck, A. Jourdain, W. Ruythooren, M. de P. de ten Broeck, A. Opdebeeck, T. Chiarella, B. Parvais, I. Debusschere, T. Y. Hoffmann, B. De Wachter, W. Dehaene, M. Stucchi, M. Rakowski, Ph. Soussan, R. Cartuyvels, E. Beyne, S. Biesemans, and B. Swinnen, "3D stacked IC demonstration using a through silicon via first approach", 2008 IEEE IEDM, 1, (2008). 

  12. U. Kang, H.-J. Chung, S. Heo, D.-H. Park, H. Lee, J. H. Kim, S.-H. Ahn, S.-H. Cha, J. Ahn, D. Kwon, J.-W. Lee, H.-S. Joo, W.-S. Kim, D. H. Jang, N. S. Kim, J.-H. Choi, T.-G. Chung, J.-H. Yoo, J. S. Choi, C. Kim, and Y.-H. Jun, "8 Gb 3-D DDR3 DRAM using through-silicon-via technology", IEEE J. Solid-State Circuits, 45, 111, (2010). 

  13. N. Khan, V. S. Rao, S. Lim, H. S. We, V. Lee, X. Zhang, E. B. Liao, R. Nagarajan, T. C. Chai, V. Kripesh, and J. H. Lau, "Development of 3-D silicon module with TSV for system in packaging", IEEE Trans. Compon. Packag. Technol., 33, 3, (2010). 

  14. S. Hu, Y.-Z. Xiong, L. Wang, R. Li, J. Shi, and T.-G. Lim, "Compact high-gain mmWave antenna for TSV-based system- in-package application" IEEE Trans. Compon. Packag. Manuf. Technol., 2, 841, (2012). 

  15. J.-S. Lim, J.-H. Kim, H.-J. Kim, J.-W. Jung, H. Lee, M.-Y. Park, and J.-S. Chae, "3D SDRAM Package Technology for a Satellite", J. Microelecton. Packag. Soc., 19(1), 25, (2012). 

  16. N. Ikegami, T. Yoshida, A. Kojima, H. Ohyi, N. Koshida, and M. Esashi, "Active-matrix nanocrystalline Si electron emitter array for massively parallel direct-write electron-beam system: first results of the performance evaluation", J. Micro/ Nanolith. MEMS MOEMS, 11, 031406-1, (2012). 

  17. B. Wu, A. Kumar, and S. Pamarthy, "High aspect ratio silicon etch: A review", J. Appl. Phys., 108, 051101-1, (2010). 

  18. Y. C. Tan, C. M. Tan, X. W. Zhang, T. C. Chai, and D. Q. Yu, "Electromigration performance of Through Silicon Via (TSV)-A modeling approach", Microelectron. Reliab., 50, 1336, (2010). 

  19. X. Liu, Q. Chen, P. Dixit, R. Chatterjee, R. R. Tummala, and S. K. Sitaraman, "Failure mechanisms and optimum design for electroplated copper through-silicon vias (TSV)", 2009 59th. ECTC, 624, (2009). 

  20. C. S. Selvanayagam, J. H. Lau, X. Zhang, S. K. W. Seah, K. Vaidyanathan, and T. C. Chai, "Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon via) and their flip-chip microbumps", IEEE Trans. Adv. Packag., 32, 720, (2009). 

  21. X. Liu, Q. Chen, V. Sundaram, M. Simmons-Matthews, K. P. Wachtler, R. R. Tummala, and S. K. Sitaraman, "Thermomechanical behavior of through silicon vias in a 3D integrated package with inter-chip microbumps", 2011 IEEE 61st. ECTC, 1190, (2011). 

  22. N. Ranganathan, K. Prasad, N. Balasubramanian, and K. L. Pey, "A study of thermo-mechanical stress and its impact on through-silicon vias", J. Micromech. Microeng., 18, 075018, (2008). 

  23. M. A. Hopcroft, W. D. Nix, and T. W. Kenny, "What is the Young's Modulus of Silicon?", J. Microelectromech. Syst., 19, 229, (2010). 

  24. A. P. Karmarkar, X. Xu, and V. Moroz, "Performanace and reliability analysis of 3D-integration structures employing through silicon via (TSV)", 2009 IEEE Int. Rel. Phys. Symp., 682, (2009). 

  25. K. H. Lu, X. Zhang, S.-K. Ryu, J. Im, R. Huang, and P. S. Ho, "Thermo-mechanical reliability of 3-D ICs containing through silicon vias", 2009 59th. ECTC, 630, (2009). 

  26. B. Xie, X. Q. Shi, C. H. Chung, and S. W. R. Lee, "Novel sequential electro-chemical and thermo-mechanical simulation methodology for annular through-silicon-via (TSV) design", 2010 Proc. 60th. ECTC, 1166, (2010). 

  27. C. Okoro, J. W. Lau, F. Golshany, K. Hummler, and Y. S. Obeng, "A detailed failure analysis examination of the effect of thermal cycling on Cu TSV reliability", IEEE Trans. Electon Devices, 61, 15, (2014). 

  28. T. T. Chua, S. W. Ho, H. Y. Li, C. H. Khong, E. B. Liao, S. P. Chew, W. S. Lee, L. S. Lim, X. F. Pang, S. L. Kriangsak, C. Ng, S. Nathapong, and C. H. Toh, "3D interconnection process development and integration with low stress TSV", 2010 Proc. 60th. ECTC, 798, (2010). 

  29. M. Jung, J. Mitra, D. Z. Pan, and S. K. Lim, "TSV stressaware full-chip mechanical reliability analysis and optimization for 3D IC", Commun. ACM, 57, 107, (2014). 

  30. J. H. Lau, and T. G. Yue, "Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration systemin- package (SiP)", Microelecton. Reliab., 52, 2660, (2012). 

  31. J. H. Lau, and T. G. Yue, "Thermal management of 3D IC integration with TSV (through silicon via)", 2009 59th. ECTC, 635, (2009). 

  32. Z. Liu, S. Swarup, and S. X.-D. Tan, "Compact lateral thermal resistance modeling and characterization for TSV and TSV array", Proc. Int. Conference on Computer-Aided Design, 275, (2013). 

  33. H. Tanaka, and K.-S. Kim, "Introduction of reliability test technology for electronics package", J. Microelectron. Packag. Soc., 19, 1, (2012). 

  34. X. Liu, Q. Chen, V. Sundaram, R. R. Tummala, and S. K. Sitaraman, "Failure analysis of through-silicon vias in freestanding wafer under thermal-shock test", Microelectron. Reliab., 53, 70, (2013). 

  35. M. G. Farooq, T. L. Graves-Abe, W. F. Landers, C. Kothandaraman, B. A. Himmel, P. S. Andry, C. K. Tsang, E. Sprogis, R. P. Volant, K. S. Petrarca, K. R. Winstel, J. M. Safran, T. D. Sullivan, F. Chen, M. J. Shapiro, R. Hannon, R. Liptak, D. Berger, and S. S. Iyer, "3D copper TSV integration, testing and reliability", 2011 IEDM, 7.1.1, (2011). 

  36. P. Kumar, I. Dutta, and M. S. Bakir, "Interfacial effects during thermal cycling of Cu-filled through-silicon vias (TSV)", J. Electron. Mater., 41, 322, (2012). 

  37. C. Okoro, R. Labie, K. Vansteels, A. Franquet, M. Gonzalez, B. Vandevelde, E. Beyne, D. Vandepitte, and B. Verlinden, "Impact of the electrodeposition chemistry used for TSV filling on the microstructural and thermo-mechanical response of Cu", J. Mater. Sci., 46, 3868, (2011). 

  38. A. Jain, R. E. Jones, R. Chatterjee, S. Pozder, and Z. Huang, "Thermal modeling and design of 3D integrated circuits", 2008 11th. ITHERM, 1139, (2008). 

  39. K. H. Lee, “Application of Plating Simulation for PCB andPackaging Process”, J. Microelectron. Packag. Soc., 19(3), 1,(2012). 

  40. K.-S. Kim, D.-H. Choi, and S.-B. Jung, “Overview on thermalmanagement technology for high power device packaging”, J.Microelectron. Packag. Soc., 21(2), 13, (2014). 

저자의 다른 논문 :

LOADING...

관련 콘텐츠

오픈액세스(OA) 유형

GOLD

오픈액세스 학술지에 출판된 논문

이 논문과 함께 이용한 콘텐츠

저작권 관리 안내
섹션별 컨텐츠 바로가기

AI-Helper ※ AI-Helper는 오픈소스 모델을 사용합니다.

AI-Helper 아이콘
AI-Helper
안녕하세요, AI-Helper입니다. 좌측 "선택된 텍스트"에서 텍스트를 선택하여 요약, 번역, 용어설명을 실행하세요.
※ AI-Helper는 부적절한 답변을 할 수 있습니다.

선택된 텍스트

맨위로